OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_4_0.txt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
For Detailed information of the tools check OpenCollector.db.txt
2
note: not everything in OpenCollector.db.txt included in OpenTech cdroms
3
 
4
1. Designs (on cdrom 1)
5
  1) 4stack : CPU
6
  2) balloon
7
  3) Alltel
8
  4) Cornbread: IO board
9
  5) cpcng board prject site
10
  6) Crypto:  DES core
11
  7) DLX processor model
12
  8) DPRGIR IR Proximity Detector
13
  9) efi engine control
14
  10) f-cpu design
15
  11) feS2: fpga evaluation board
16
  12) Flapjack : IO board
17
  13) FMF (Free Model Foundation) site
18
  14) fpgaarcad designs
19
  15) fracn fractional-N frequency dividers.
20
  16) freecore : some  cores targeted to altera
21
  17) free-ip designs and site
22
  18) Free-risc8: CPU Project
23
  19) gBios: GNU bios
24
  20) jamCPU
25
  21) Khatib site and free cores
26
  22) LART Project
27
  23) Leon-II: Sparc CPU
28
  24) LIAB3Ddist: Linux in a Box project
29
  25) Logic Analyzer core
30
  26) m65 CPU
31
  27) MegaSquirt
32
  28) mPGA: Meta FPGA project
33
  29) msl16
34
  30) Ogg On Chip
35
  31) OpenBT project
36
  32) OpenAutomationProject
37
  33) openUP: CPU
38
  34) openwince drivers
39
  35) pancham: MD5 message digest algorithm
40
  36) Piranha: CPU Project
41
  37) pjrc
42
  38) PS-XPC84 board
43
  39) risc8: CPU Project
44
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
45
  41) rs-codec
46
  42) rstk
47
  43) Sayuri : CPU
48
  44) servomaster: servo motor
49
  45) slc1657: microcontroller
50
  46) SOC board
51
  47) T80 cpu
52
  48) te16: FPGA CPU
53
  49) Traja: Java Processor
54
  50) vhdlcohen: design files
55
  51) Some VHDL cores
56
  52) VHDL examples and tutorials
57
  53) wireless
58
  54) Wishbone SOC bus specifications
59
  55) xr16vx: CPU
60
 
61
 
62
2. Tools (on cdrom 2)
63
== Analysis
64
 1) altc (Arbitrary Tranmission Line Calculator)
65
 2) kfilter : filter design tool
66
 3) mpac (MicroStrip Patch Antenna Calculator)
67
 4) ntesla: coil calculator
68
 5) vipec: high frequency tool
69
 6) Turns-n
70
 7) fastcap
71
 8) fasteny
72
 9) induct
73
 10) sline
74
 11) FXTransformer : transformers tool
75
 
76
== Design entry
77
  1) bitgen: converting digital bitstreams to analog voltage sources
78
  2) BoardStatus:
79
  3) Brusey20: state diagram editor
80
  4) chipmunk tools
81
  5) ChipVault: HDL hierarchy tool
82
  6) Circuit_macros for Latex
83
  7) circuitsimth:
84
  8) dia: block diagrams and flow charts drawing tool
85
  9) dtools: several scripting tools
86
  10) edascript
87
  11) eda-index
88
  12) edif-parser
89
  13) Electric: compiler and simulator. full IC design system
90
  14) Emacs modes: VHDL, Verilog ....
91
  15) fsm tool
92
  16) Gael:
93
  17) GDSreader: GDS files reader
94
  18) gEDA Tools: schematic and netlisting
95
  19) Grdrv for protel
96
  20) gtree
97
  21) HDLmaker   hierarchy tool
98
  22) IDaSS
99
  23) JARP petri net drawing tool
100
  24) NGpaint: Drawing tool
101
  25) NMICROCODER  microcode generator
102
  26) pinout: script for drwing chip pins
103
  27) qfsm: fsm drawing tool
104
  28)  REDS: Schematics and PCB
105
  29) SNV: verilog editor
106
  30) sarlacc: Orcad to geda convertor
107
  31) SimpleElib: electric library
108
  32) spp: Perl wrapper for Synopsys' shells
109
  33) tEDA
110
  34) trtab: truth table generator
111
  35) VGI: VHDL Graphical Interface
112
  36) Voyeur: design veiwer
113
  37) xcircuit: schematic drawing tool
114
 
115
== Instruments
116
  1) bsosc: Bitscope software
117
  2) GPIB-tcl: General purpose interface bus TCL extension
118
  3) Kdmm: DMM
119
  4) multimeter
120
  5) ODDAS: Open Source DAS software
121
  6) oscope
122
  7) qtDMM : DMM
123
  8) qtDSO: DSO
124
  9) scope
125
  10) zmeter :Serial Digital Multimeter Interface
126
 
127
== Layout
128
   * IC
129
   1) Magic: IC layout tool
130
   2) p2m: Image to layout tool
131
 
132
   * board
133
   1) gbliter: Gerber Printed Circuit Board Tiling Utility
134
   2) gerb2tif : gerper file to tiff convertor
135
   3) gerber2pdf
136
   4) gerbv : gerber file viewer
137
   5) kic
138
   6) lasagne: PCB layout tool
139
   7) mucs
140
   8) PCB layout tool
141
   9) pcbca
142
   10) punto
143
   11) traxlaserplot
144
   12) xelenECAD
145
   13) xmlpcbrender
146
   14) xroadmaker
147
   15) gerbmerge
148
 
149
== Pic tools
150
  1) asm_c84
151
  2) Broccoli18
152
  3) gpasm
153
  4) gpprog
154
  5) gpsim
155
  6) npc
156
  7) pic_cc PIC compiler
157
  8) picprog PIC driver
158
  9) picasm
159
  10) picdis
160
  11) picsim
161
  12) prog84-0.9
162
  13) Pp06: PP06 Programmer Software
163
  14) Sdcc
164
  15) vc
165
  16) xnitpic X Window Simulator for PIC
166
  17) gpicd
167
 
168
 
169
== PLD
170
  1) JBits-Interface
171
  2) palstuff
172
  3) Vpr PPR tool
173
  4) xnf-tools
174
  5) xstools: xess boards tools
175
  6) ptgnlfsr
176
  7) JHDL
177
  8) asfpga
178
  9) virtextools
179
  10) vpr_virtex
180
 
181
== Simulation
182
  1) alice OpenVera tool
183
  2) cynLib verification tool
184
  3) danalyzer: Digital Logic Analyzer
185
  4) DigiTcl: Digital simulator
186
  5) dinotrace:  viewing signal wave forms
187
  6) gmos: Semiconductor simulator
188
  7) gossip-sim: synchronous data flow simulation
189
  8) gtkwave
190
  9) gwave: wave form viewer
191
  10) hadlop230 : simulation  for digital optoelectronic
192
  11) irsim: logic simulator for MOS
193
  12) klogic
194
  13) pcisim: PCI simulator
195
  14) pns
196
  15) polis
197
  16) ptolemy: communication and DSP simulators
198
  17) retro
199
  18) s5: simulation of programnable logic controler
200
  19) SIMsynch:
201
  20) thud:  RTL simulation environment
202
  21) Timver: timing verify circuits against specifications
203
  22) tkgate: event-driven simulator
204
  23) Udl/I:Unified Design Language for Integrated Circuits
205
  24) vstgl: Visual STG Lab
206
  25) SUGAR MEMS simulator
207
  26) jsim
208
  27) dlsim
209
  28) krelais
210
  29) tlogsim
211
  30) SS1
212
  31) ksimus
213
  32) decida
214
  33) gnucap
215
  34) SystemC-Vergs
216
  35) SystemPerl
217
  36) ViPEC
218
 
219
 
220
== Spice
221
 1) Al's Circuit Simulator
222
 2) autochar "Automatic cell characterization of digital circuits.
223
 3) gSpice
224
 4) hsview: Simulation viewer
225
 5) macspice
226
 6) ng-spice : Spice
227
 7) oregano: schematic capture and simulation
228
 8) spice3f5 : Spice
229
 9) spicecad : schematic entry for the anlog simulator
230
 10) Spice-perl scripts
231
 11) spicepp  spice scripts
232
 12) spiceprm spice preprocessor
233
 13) wave 2 hspice tool
234
 14) jjmodel
235
 15) Spice +
236
 
237
 
238
== Synthesis
239
 1) Alliance : VHDL compiler, simulator and synthesis tool
240
 2) Boolean:
241
 3) Espresso
242
 4) icarus VERILOG COMPILATION SYSTEM
243
 5) Udl/I:Unified Design Language for Integrated Circuits
244
 6) Boolean Simplification
245
 7) boolstuff : boolean tools
246
 8) qmc
247
 
248
== Verification
249
  1) Covered: Coverage Tool  (added)
250
  2) Fcoverage:  Functional coverage tool (added)
251
  3) JEDA:  hardware design verification  Programming Language  (added)
252
  4) RHDL
253
  5) MyHDL
254
  6) atpg
255
 
256
 == Verilog
257
  1) dinotrace
258
  2) Gslondon
259
  3) icarus VERILOG COMPILATION SYSTEM
260
  4) io    Reading files from Verilog models
261
  5) ivl
262
  6) parser
263
  7) pli_socket_example
264
  8) smi
265
  9) V2k    parser, database, and elaborator.
266
  10) VBS    Verilog Behavioral Simulation
267
  11) Vcd wave veiwer
268
  12) ver    Structural Verilog Compiler.
269
  13) Verilog2C++ : verilog to C++ convertor
270
  14) verilog 2 vhdl
271
  15) Verilog Perl Package
272
  16) Verilog-Pli
273
  17) vex
274
  18) vIDE Editor
275
  19) vtrace
276
  20) VL
277
  21) vpp
278
  22) IVI
279
  23) v-ms Verilog AMS
280
  24) csv-verilog-maker
281
  25) cver
282
  26) Veritlator
283
 
284
 
285
== VHDL
286
 1) Alliance : compiler, simulator and synthesis tool
287
 2) blowfish
288
 3) vhd2vl: vhdl to verilog convertor
289
 4) vhdl2html convertor
290
 5) vhdl2verilog convertor
291
 6) Fio package
292
 7) freehdl :vhdl compiler
293
 8) Gslondon
294
 9) savant : vhdl simulator
295
 10) vdt : vhdl compiler
296
 11) VHDL++
297
 12) VHDL-parser :
298
 13) Some VHDL tools
299
 14) Xfuzzy : vhdl tool for fuzzy system
300
 15) freehdl
301
 16) ghdl
302
 17) VHDL2vlg
303
 
304
== Factory and other tools
305
   1) bdm: mpc850 BDM software and design
306
   2) can controller tool
307
   3) ColdFire: emulator
308
   4) e_factory
309
   5) emc: Enhanced Machine Controller
310
   6) gbi Parallel port control
311
   7) io: IO interfacing board software
312
   8) JTAG utility
313
   9) ppc
314
   10) protoype
315
   11) ianjtag
316
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
317
   13) jyusecs
318
   14) jtag-tools
319
 
320
== ROM Programmers
321
   1)  Eep
322
   2)  spiprog
323
   3)  srecord
324
   4) beprommer
325
   5) ppep
326
 
327
 
328
 
329
3. OpenCores.org (on cdrom 3)
330
 OpenCores site and CVS images
331
 
332
 
333
4. Extra Utilities (on cdrom 1)
334
   1) Emacs   : editor
335
   2) Xemacs  : editor
336
   3) nEdit   : editor
337
   4) WinCvs  : CVS
338
   5) tcsh: OS shell (wiondows and Unix)
339
   6) Perl
340
   7) glimmer: editor
341
 
342
5. Documents (on cdrom 1)
343
  1) VHDL-FAQ
344
  2) VLSI lectures
345
  3) CVS book
346
 
347
6.   Some Libraries needed by some tools (on cdrom 2)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.