OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_5_0.txt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
For Detailed information of the tools check OpenCollector.db.txt
2
note: not everything in OpenCollector.db.txt included in OpenTech cdroms
3
 
4
1. Designs (on cdrom 1)
5
  1) 4stack : CPU
6
  2) balloon  ARM development board
7
  3) Alltel
8
  4) Cornbread: IO board
9
  5) cpcng board prject site
10
  6) Crypto:  DES core
11
  7) DLX processor model
12
  8) DPRGIR IR Proximity Detector
13
  9) efi engine control
14
  10) f-cpu design
15
  11) feS2: fpga evaluation board
16
  12) Flapjack : IO board
17
  13) FMF (Free Model Foundation) site
18
  14) fpgaarcad designs
19
  15) fracn fractional-N frequency dividers.
20
  16) freecore : some  cores targeted to altera
21
  17) free-ip designs and site
22
  18) Free-risc8: CPU Project
23
  19) gBios: GNU bios
24
  20) jamCPU
25
  21) Khatib site and free cores
26
  22) LART Project
27
  23) Leon-II: Sparc CPU
28
  24) LIAB3Ddist: Linux in a Box project
29
  25) Logic Analyzer core
30
  26) m65 CPU
31
  27) MegaSquirt
32
  28) mPGA: Meta FPGA project
33
  29) msl16
34
  30) Ogg On Chip
35
  31) OpenBT project
36
  32) OpenAutomationProject
37
  33) openUP: CPU
38
  34) openwince drivers
39
  35) pancham: MD5 message digest algorithm
40
  36) Piranha: CPU Project
41
  37) pjrc
42
  38) PS-XPC84 board
43
  39) risc8: CPU Project
44
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
45
  41) rs-codec
46
  42) rstk
47
  43) Sayuri : CPU
48
  44) servomaster: servo motor
49
  45) slc1657: microcontroller
50
  46) SOC board
51
  47) T80 cpu
52
  48) te16: FPGA CPU
53
  49) Traja: Java Processor
54
  50) vhdlcohen: design files
55
  51) Some VHDL cores
56
  52) VHDL examples and tutorials
57
  53) wireless
58
  54) Wishbone SOC bus specifications
59
  55) xr16vx: CPU
60
  56) elphel
61
  57) wrec
62
  58) UTNios processor
63
  59) grlib (set of reusable IPs)
64
  60) Handasa Arabia site
65
  61) VLSI technology library
66
 
67
2. Tools (on cdrom 2)
68
== Analysis
69
 1) altc (Arbitrary Tranmission Line Calculator)
70
 2) kfilter : filter design tool
71
 3) mpac (MicroStrip Patch Antenna Calculator)
72
 4) ntesla: coil calculator
73
 5) vipec: high frequency tool
74
 6) Turns-n
75
 7) fastcap
76
 8) fasteny
77
 9) induct
78
 10) sline
79
 11) FXTransformer : transformers tool
80
 
81
== Design entry
82
  1) bitgen: converting digital bitstreams to analog voltage sources
83
  2) BoardStatus:
84
  3) Brusey20: state diagram editor
85
  4) chipmunk tools
86
  5) ChipVault: HDL hierarchy tool
87
  6) Circuit_macros for Latex
88
  7) circuitsimth:
89
  8) dia: block diagrams and flow charts drawing tool
90
  9) dtools: several scripting tools
91
  10) edascript
92
  11) eda-index
93
  12) edif-parser
94
  13) Electric: compiler and simulator. full IC design system
95
  14) Emacs modes: VHDL, Verilog ....
96
  15) fsm tool
97
  16) Gael:
98
  17) GDSreader: GDS files reader
99
  18) gEDA Tools: schematic and netlisting
100
  19) Grdrv for protel
101
  20) gtree
102
  21) HDLmaker   hierarchy tool
103
  22) IDaSS
104
  23) JARP petri net drawing tool
105
  24) NGpaint: Drawing tool
106
  25) NMICROCODER  microcode generator
107
  26) pinout: script for drwing chip pins
108
  27) qfsm: fsm drawing tool
109
  28)  REDS: Schematics and PCB
110
  29) SNV: verilog editor
111
  30) sarlacc: Orcad to geda convertor
112
  31) SimpleElib: electric library
113
  32) spp: Perl wrapper for Synopsys' shells
114
  33) tEDA
115
  34) trtab: truth table generator
116
  35) VGI: VHDL Graphical Interface
117
  36) Voyeur: design veiwer
118
  37) xcircuit: schematic drawing tool
119
  38) TinyCad: schematic drawing tool
120
  39) Gnetman: net manupilation tool
121
  40) DataDraw
122
  41) veditor: Verilog and VHDL editor
123
 
124
 
125
== DigitalDesign
126
  1) confluence
127
  2) myHDL
128
 
129
== Instruments
130
  1) bsosc: Bitscope software
131
  2) GPIB-tcl: General purpose interface bus TCL extension
132
  3) Kdmm: DMM
133
  4) multimeter
134
  5) ODDAS: Open Source DAS software
135
  6) oscope
136
  7) qtDMM : DMM
137
  8) qtDSO: DSO
138
  9) scope
139
  10) zmeter :Serial Digital Multimeter Interface
140
  11) qoscc: DSO
141
 
142
== IC layout /VLSI
143
   1) Magic: IC layout tool
144
   2) p2m: Image to layout tool
145
   3) Chipmunk
146
   4) MGEN
147
   5) net
148
   6) pplot
149
   7) octtools
150
 
151
== PCB layout
152
   1) gbliter: Gerber Printed Circuit Board Tiling Utility
153
   2) gerb2tif : gerper file to tiff convertor
154
   3) gerber2pdf
155
   4) gerbv : gerber file viewer
156
   5) kic
157
   6) lasagne: PCB layout tool
158
   7) mucs
159
   8) PCB layout tool
160
   9) pcbca
161
   10) punto
162
   11) traxlaserplot
163
   12) xelenECAD
164
   13) xmlpcbrender
165
   14) xroadmaker
166
   15) gerbmerge
167
   16) gerber2eps
168
   17) gervJava
169
   18) pcbeditor
170
   19) Free PCB
171
 
172
 
173
== Pic tools
174
  1) asm_c84
175
  2) Broccoli18
176
  3) gpasm
177
  4) gpprog
178
  5) gpsim
179
  6) npc
180
  7) pic_cc PIC compiler
181
  8) picprog PIC driver
182
  9) picasm
183
  10) picdis
184
  11) picsim
185
  12) prog84-0.9
186
  13) Pp06: PP06 Programmer Software
187
  14) Sdcc
188
  15) vc
189
  16) xnitpic X Window Simulator for PIC
190
  17) gpicd
191
  18) ptkl
192
  19) yapide
193
  20) KTechlab
194
 
195
== PLD
196
  1) JBits-Interface
197
  2) palstuff
198
  3) Vpr PPR tool
199
  4) xnf-tools
200
  5) xstools: xess boards tools
201
  6) ptgnlfsr
202
  7) JHDL
203
  8) asfpga
204
  9) virtextools
205
  10) vpr_virtex
206
 
207
== Simulation
208
  1) alice OpenVera tool
209
  2) cynLib verification tool
210
  3) danalyzer: Digital Logic Analyzer
211
  4) DigiTcl: Digital simulator
212
  5) dinotrace:  viewing signal wave forms
213
  6) gmos: Semiconductor simulator
214
  7) gossip-sim: synchronous data flow simulation
215
  8) gtkwave
216
  9) gwave: wave form viewer
217
  10) hadlop230 : simulation  for digital optoelectronic
218
  11) irsim: logic simulator for MOS
219
  12) klogic
220
  13) pcisim: PCI simulator
221
  14) pns
222
  15) polis
223
  16) ptolemy: communication and DSP simulators
224
  17) retro
225
  18) s5: simulation of programnable logic controler
226
  19) SIMsynch:
227
  20) thud:  RTL simulation environment
228
  21) Timver: timing verify circuits against specifications
229
  22) tkgate: event-driven simulator
230
  23) Udl/I:Unified Design Language for Integrated Circuits
231
  24) vstgl: Visual STG Lab
232
  25) SUGAR MEMS simulator
233
  26) jsim
234
  27) dlsim
235
  28) krelais
236
  29) tlogsim
237
  30) SS1
238
  31) ksimus
239
  32) decida
240
  33) gnucap
241
  34) SystemC-Vergs
242
  35) SystemPerl
243
  36) ViPEC
244
  37) MNEMS
245
  38) SAMSA
246
  39) GPLCver
247
  40) qucs
248
 
249
== Spice
250
 1) Al's Circuit Simulator
251
 2) autochar "Automatic cell characterization of digital circuits.
252
 3) gSpice
253
 4) hsview: Simulation viewer
254
 5) macspice
255
 6) ng-spice : Spice
256
 7) oregano: schematic capture and simulation
257
 8) spice3f5 : Spice
258
 9) spicecad : schematic entry for the anlog simulator
259
 10) Spice-perl scripts
260
 11) spicepp  spice scripts
261
 12) spiceprm spice preprocessor
262
 13) wave 2 hspice tool
263
 14) jjmodel
264
 15) Spice +
265
 
266
 
267
== Synthesis
268
 1) Alliance : VHDL compiler, simulator and synthesis tool
269
 2) Boolean:
270
 3) Espresso
271
 4) icarus VERILOG COMPILATION SYSTEM
272
 5) Udl/I:Unified Design Language for Integrated Circuits
273
 6) Boolean Simplification
274
 7) boolstuff : boolean tools
275
 8) qmc
276
 
277
== Verification
278
  1) Covered: Coverage Tool  (added)
279
  2) Fcoverage:  Functional coverage tool (added)
280
  3) JEDA:  hardware design verification  Programming Language  (added)
281
  4) RHDL
282
  5) MyHDL
283
  6) atpg
284
  7) NuSMV
285
  8) vtracer
286
 
287
 == Verilog
288
  1) dinotrace
289
  2) Gslondon
290
  3) icarus VERILOG COMPILATION SYSTEM
291
  4) io    Reading files from Verilog models
292
  5) ivl
293
  6) parser
294
  7) pli_socket_example
295
  8) smi
296
  9) V2k    parser, database, and elaborator.
297
  10) VBS    Verilog Behavioral Simulation
298
  11) Vcd wave veiwer
299
  12) ver    Structural Verilog Compiler.
300
  13) Verilog2C++ : verilog to C++ convertor
301
  14) verilog 2 vhdl
302
  15) Verilog Perl Package
303
  16) Verilog-Pli
304
  17) vex
305
  18) vIDE Editor
306
  19) vtrace
307
  20) VL
308
  21) vpp
309
  22) IVI
310
  23) v-ms Verilog AMS
311
  24) csv-verilog-maker
312
  25) cver
313
  26) Veritlator
314
  28) informal
315
 
316
== VHDL
317
 1) Alliance : compiler, simulator and synthesis tool
318
 2) blowfish
319
 3) vhd2vl: vhdl to verilog convertor
320
 4) vhdl2html convertor
321
 5) vhdl2verilog convertor
322
 6) Fio package
323
 7) freehdl :vhdl compiler
324
 8) Gslondon
325
 9) savant : vhdl simulator
326
 10) vdt : vhdl compiler
327
 11) VHDL++
328
 12) VHDL-parser :
329
 13) Some VHDL tools
330
 14) Xfuzzy : vhdl tool for fuzzy system
331
 15) freehdl
332
 16) ghdl
333
 17) VHDL2vlg
334
 
335
== Factory and other tools
336
   1) bdm: mpc850 BDM software and design
337
   2) can controller tool
338
   3) ColdFire: emulator
339
   4) e_factory
340
   5) emc: Enhanced Machine Controller
341
   6) gbi Parallel port control
342
   7) io: IO interfacing board software
343
   8) JTAG utility
344
   9) ppc
345
   10) protoype
346
   11) ianjtag
347
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
348
   13) jyusecs
349
   14) jtag-tools
350
 
351
== ROM Programmers
352
   1)  Eep
353
   2)  spiprog
354
   3)  srecord
355
   4) beprommer
356
   5) ppep
357
 
358
 
359
3. OpenCores.org (on cdrom 3)
360
 OpenCores site and CVS images
361
 
362
 
363
4. Extra Utilities (on cdrom 1)
364
   1) Emacs   : editor
365
   2) Xemacs  : editor
366
   3) nEdit   : editor
367
   4) WinCvs  : CVS
368
   5) tcsh: OS shell (wiondows and Unix)
369
   6) Perl
370
   7) glimmer: editor
371
 
372
5. Documents (on cdrom 1)
373
  1) VHDL-FAQ
374
  2) VLSI lectures
375
  3) CVS book
376
 
377
6.   Some Libraries needed by some tools (on cdrom 3)
378
 
379
7. OpenRisk1000 processor (on cdrom 4)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.