OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_6_0.txt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
For Detailed information of the tools check www.OpenCollector.org
2
 
3
 
4
1. Designs (Designs CDrom)
5
  1) 4stack : CPU
6
  2) balloon  ARM development board
7
  3) Alltel
8
  4) Cornbread: IO board
9
  5) cpcng board prject site
10
  6) Crypto:  DES core
11
  7) DLX processor model
12
  8) DPRGIR IR Proximity Detector
13
  9) efi engine control
14
  10) f-cpu design
15
  11) feS2: fpga evaluation board
16
  12) Flapjack : IO board
17
  13) FMF (Free Model Foundation) site
18
  14) fpgaarcad designs
19
  15) fracn fractional-N frequency dividers.
20
  16) freecore : some  cores targeted to altera
21
  17) free-ip designs and site
22
  18) Free-risc8: CPU Project
23
  19) gBios: GNU bios
24
  20) jamCPU
25
  21) Khatib site and free cores
26
  22) LART Project
27
  23) Leon-II: Sparc CPU
28
  24) LIAB3Ddist: Linux in a Box project
29
  25) Logic Analyzer core
30
  26) m65 CPU
31
  27) MegaSquirt
32
  28) mPGA: Meta FPGA project
33
  29) msl16
34
  30) Ogg On Chip
35
  31) OpenBT project
36
  32) OpenAutomationProject
37
  33) openUP: CPU
38
  34) openwince drivers
39
  35) pancham: MD5 message digest algorithm
40
  36) Piranha: CPU Project
41
  37) pjrc
42
  38) PS-XPC84 board
43
  39) risc8: CPU Project
44
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
45
  41) rs-codec
46
  42) rstk
47
  43) Sayuri : CPU
48
  44) servomaster: servo motor
49
  45) slc1657: microcontroller
50
  46) SOC board
51
  47) T80 cpu
52
  48) te16: FPGA CPU
53
  49) Traja: Java Processor
54
  50) vhdlcohen: design files
55
  51) Some VHDL cores
56
  52) VHDL examples and tutorials
57
  53) wireless
58
  54) Wishbone SOC bus specifications
59
  55) xr16vx: CPU
60
  56) elphel
61
  57) wrec
62
  58) UTNios processor
63
  59) grlib (set of reusable IPs)
64
  60) Handasa Arabia site
65
  61) VLSI technology library
66
  62) Gadgetboard
67
  63) OpenEEG
68
  64) OpenHardware.ru
69
 
70
2. Tools (Tools 1 & 2 CDroms)
71
== Analysis
72
 1) altc (Arbitrary Tranmission Line Calculator)
73
 2) kfilter : filter design tool
74
 3) mpac (MicroStrip Patch Antenna Calculator)
75
 4) ntesla: coil calculator
76
 5) vipec: high frequency tool
77
 6) Turns-n
78
 7) fastcap
79
 8) fasteny
80
 9) induct
81
 10) sline
82
 11) FXTransformer : transformers tool
83
 12) wcalc
84
 13) gsmc+xsmc
85
 
86
 
87
== Design entry
88
  1) bitgen: converting digital bitstreams to analog voltage sources
89
  2) BoardStatus:
90
  3) Brusey20: state diagram editor
91
  4) chipmunk tools
92
  5) ChipVault: HDL hierarchy tool
93
  6) Circuit_macros for Latex
94
  7) circuitsimth:
95
  8) dia: block diagrams and flow charts drawing tool
96
  9) dtools: several scripting tools
97
  10) edascript
98
  11) eda-index
99
  12) edif-parser
100
  13) Electric: compiler and simulator. full IC design system
101
  14) Emacs modes: VHDL, Verilog ....
102
  15) fsm tool
103
  16) Gael:
104
  17) GDSreader: GDS files reader
105
  18) gEDA Tools: schematic and netlisting
106
  19) Grdrv for protel
107
  20) gtree
108
  21) HDLmaker   hierarchy tool
109
  22) IDaSS
110
  23) JARP petri net drawing tool
111
  24) NGpaint: Drawing tool
112
  25) NMICROCODER  microcode generator
113
  26) pinout: script for drwing chip pins
114
  27) qfsm: fsm drawing tool
115
  28)  REDS: Schematics and PCB
116
  29) SNV: verilog editor
117
  30) sarlacc: Orcad to geda convertor
118
  31) SimpleElib: electric library
119
  32) spp: Perl wrapper for Synopsys' shells
120
  33) tEDA
121
  34) trtab: truth table generator
122
  35) VGI: VHDL Graphical Interface
123
  36) Voyeur: design veiwer
124
  37) xcircuit: schematic drawing tool
125
  38) TinyCad: schematic drawing tool
126
  39) Gnetman: net manupilation tool
127
  40) DataDraw
128
  41) veditor: Verilog and VHDL editor
129
  42) Kicad
130
  43) jhdl-ide
131
 
132
 
133
== Instruments
134
  1) bsosc: Bitscope software
135
  2) GPIB-tcl: General purpose interface bus TCL extension
136
  3) Kdmm: DMM
137
  4) multimeter
138
  5) ODDAS: Open Source DAS software
139
  6) oscope
140
  7) qtDMM : DMM
141
  8) qtDSO: DSO
142
  9) scope
143
  10) zmeter :Serial Digital Multimeter Interface
144
  11) qoscc: DSO
145
 
146
== IC layout /VLSI
147
   1) Magic: IC layout tool
148
   2) p2m: Image to layout tool
149
   3) Chipmunk
150
   4) MGEN
151
   5) net
152
   6) pplot
153
   7) octtools
154
   8) PDTools
155
   9) LayoutEditor
156
 
157
== PCB layout
158
   1) gbliter: Gerber Printed Circuit Board Tiling Utility
159
   2) gerb2tif : gerper file to tiff convertor
160
   3) gerber2pdf
161
   4) gerbv : gerber file viewer
162
   5) kic
163
   6) lasagne: PCB layout tool
164
   7) mucs
165
   8) PCB layout tool
166
   9) pcbca
167
   10) punto
168
   11) traxlaserplot
169
   12) xelenECAD
170
   13) xmlpcbrender
171
   14) xroadmaker
172
   15) gerbmerge
173
   16) gerber2eps
174
   17) gervJava
175
   18) pcbeditor
176
   19) Free PCB
177
   20) Kicad
178
   21) pcb
179
 
180
== uC tools
181
  1) asm_c84
182
  2) Broccoli18
183
  3) gpasm
184
  4) gpprog
185
  5) gpsim
186
  6) npc
187
  7) pic_cc PIC compiler
188
  8) picprog PIC driver
189
  9) picasm
190
  10) picdis
191
  11) picsim
192
  12) prog84-0.9
193
  13) Pp06: PP06 Programmer Software
194
  14) Sdcc
195
  15) vc
196
  16) xnitpic X Window Simulator for PIC
197
  17) gpicd
198
  18) ptkl
199
  19) yapide
200
  20) KTechlab
201
  21) PiKdev
202
  22) MicroDev
203
  23) Odyssey
204
  24) piklab
205
 
206
 
207
== PLD
208
  1) JBits-Interface
209
  2) palstuff
210
  3) Vpr PPR tool
211
  4) xnf-tools
212
  5) xstools: xess boards tools
213
  6) ptgnlfsr
214
  7) JHDL
215
  8) asfpga
216
  9) virtextools
217
  10) vpr_virtex
218
  11) fpgaC
219
 
220
== Simulation
221
  1) alice OpenVera tool
222
  2) cynLib verification tool
223
  3) danalyzer: Digital Logic Analyzer
224
  4) DigiTcl: Digital simulator
225
  5) dinotrace:  viewing signal wave forms
226
  6) gmos: Semiconductor simulator
227
  7) gossip-sim: synchronous data flow simulation
228
  8) gtkwave
229
  9) gwave: wave form viewer
230
  10) hadlop230 : simulation  for digital optoelectronic
231
  11) irsim: logic simulator for MOS
232
  12) klogic
233
  13) pcisim: PCI simulator
234
  14) pns
235
  15) polis
236
  16) ptolemy: communication and DSP simulators
237
  17) retro
238
  18) s5: simulation of programnable logic controler
239
  19) SIMsynch:
240
  20) thud:  RTL simulation environment
241
  21) Timver: timing verify circuits against specifications
242
  22) tkgate: event-driven simulator
243
  23) Udl/I:Unified Design Language for Integrated Circuits
244
  24) vstgl: Visual STG Lab
245
  25) SUGAR MEMS simulator
246
  26) jsim
247
  27) dlsim
248
  28) krelais
249
  29) tlogsim
250
  30) SS1
251
  31) ksimus
252
  32) decida
253
  33) gnucap
254
  34) SystemC-Vergs
255
  35) SystemPerl
256
  36) ViPEC
257
  37) MNEMS
258
  38) SAMSA
259
  39) GPLCver
260
  40) qucs
261
  41) SIMSYNCH
262
  42) FlowDesign
263
 
264
== Spice
265
 1) Al's Circuit Simulator
266
 2) autochar "Automatic cell characterization of digital circuits.
267
 3) gSpice
268
 4) hsview: Simulation viewer
269
 5) macspice
270
 6) ng-spice : Spice
271
 7) oregano: schematic capture and simulation
272
 8) spice3f5 : Spice
273
 9) spicecad : schematic entry for the anlog simulator
274
 10) Spice-perl scripts
275
 11) spicepp  spice scripts
276
 12) spiceprm spice preprocessor
277
 13) wave 2 hspice tool
278
 14) jjmodel
279
 15) Spice +
280
 16) ASCO
281
 
282
== Synthesis
283
 1) Alliance : VHDL compiler, simulator and synthesis tool
284
 2) Boolean:
285
 3) Espresso
286
 4) icarus VERILOG COMPILATION SYSTEM
287
 5) Udl/I:Unified Design Language for Integrated Circuits
288
 6) Boolean Simplification
289
 7) boolstuff : boolean tools
290
 8) qmc
291
 9) MVSIS
292
 10) bexpred
293
 11) balsa
294
 
295
 
296
== Verification
297
  1) Covered: Coverage Tool  (added)
298
  2) Fcoverage:  Functional coverage tool (added)
299
  3) JEDA:  hardware design verification  Programming Language  (added)
300
  4) RHDL
301
  5) MyHDL
302
  6) atpg
303
  7) NuSMV
304
  8) vtracer
305
  9) confluence
306
  10) systemperl
307
  11) Teal
308
  12) hdcaml
309
  13) jove
310
 
311
 
312
 == Verilog
313
  1) dinotrace
314
  2) Gslondon
315
  3) icarus VERILOG COMPILATION SYSTEM
316
  4) io    Reading files from Verilog models
317
  5) ivl
318
  6) parser
319
  7) pli_socket_example
320
  8) smi
321
  9) V2k    parser, database, and elaborator.
322
  10) VBS    Verilog Behavioral Simulation
323
  11) Vcd wave veiwer
324
  12) ver    Structural Verilog Compiler.
325
  13) Verilog2C++ : verilog to C++ convertor
326
  14) verilog 2 vhdl
327
  15) Verilog Perl Package
328
  16) Verilog-Pli
329
  17) vex
330
  18) vIDE Editor
331
  19) vtrace
332
  20) VL
333
  21) vpp
334
  22) IVI
335
  23) v-ms Verilog AMS
336
  24) csv-verilog-maker
337
  25) cver
338
  26) Veritlator
339
  27) informal
340
  28) sc2v
341
  29) Mariana
342
  30) veriwell
343
 
344
== VHDL
345
 1) Alliance : compiler, simulator and synthesis tool
346
 2) blowfish
347
 3) vhd2vl: vhdl to verilog convertor
348
 4) vhdl2html convertor
349
 5) vhdl2verilog convertor
350
 6) Fio package
351
 7) freehdl :vhdl compiler
352
 8) Gslondon
353
 9) savant : vhdl simulator
354
 10) vdt : vhdl compiler
355
 11) VHDL++
356
 12) VHDL-parser :
357
 13) Some VHDL tools
358
 14) Xfuzzy : vhdl tool for fuzzy system
359
 15) freehdl
360
 16) ghdl
361
 17) VHDL2vlg
362
 18) signs
363
 19) VHDL parser
364
 
365
== Factory and other tools
366
   1) bdm: mpc850 BDM software and design
367
   2) can controller tool
368
   3) ColdFire: emulator
369
   4) e_factory
370
   5) emc: Enhanced Machine Controller
371
   6) gbi Parallel port control
372
   7) io: IO interfacing board software
373
   8) JTAG utility
374
   9) ppc
375
   10) protoype
376
   11) ianjtag
377
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
378
   13) jyusecs
379
   14) jtag-tools
380
 
381
== ROM Programmers
382
   1)  Eep
383
   2)  spiprog
384
   3)  srecord
385
   4) beprommer
386
   5) ppep
387
 
388
== Modeling
389
1) simuted
390
2) Gezel
391
3) Plois
392
4) Potlemy II
393
5) GreeSOCs
394
6) sc2ast
395
 
396
3. OpenCores.org (OC designs CDrom)
397
 OpenCores site and CVS images
398
 
399
 
400
4. Extra Utilities (Tools 1 CDrom)
401
   1) Emacs   : editor
402
   2) Xemacs  : editor
403
   3) nEdit   : editor
404
   4) WinCvs  : CVS
405
   5) tcsh: OS shell (wiondows and Unix)
406
   6) Perl
407
   7) glimmer: editor
408
 
409
5. Documents (Designs cdrom)
410
  1) VHDL-FAQ
411
  2) VLSI lectures
412
  3) CVS book
413
 
414
6.   Some Libraries needed by some tools (Tools CDrom @)
415
 
416
7. OpenRisk1000 processor (OpenRisk CDroms 1&2)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.