OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_6_1.txt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
For Detailed information of the tools check www.OpenCollector.org
2
 
3
 
4
1. Designs (Designs CDrom)
5
  1) 4stack : CPU
6
  2) balloon  ARM development board
7
  3) Alltel
8
  4) Cornbread: IO board
9
  5) cpcng board prject site
10
  6) Crypto:  DES core
11
  7) DLX processor model
12
  8) DPRGIR IR Proximity Detector
13
  9) efi engine control
14
  10) f-cpu design
15
  11) feS2: fpga evaluation board
16
  12) Flapjack : IO board
17
  13) FMF (Free Model Foundation) site
18
  14) fpgaarcad designs
19
  15) fracn fractional-N frequency dividers.
20
  16) freecore : some  cores targeted to altera
21
  17) free-ip designs and site
22
  18) Free-risc8: CPU Project
23
  19) gBios: GNU bios
24
  20) jamCPU
25
  21) Khatib site and free cores
26
  22) LART Project
27
  23) Leon-II: Sparc CPU
28
  24) LIAB3Ddist: Linux in a Box project
29
  25) Logic Analyzer core
30
  26) m65 CPU
31
  27) MegaSquirt
32
  28) mPGA: Meta FPGA project
33
  29) msl16
34
  30) Ogg On Chip
35
  31) OpenBT project
36
  32) OpenAutomationProject
37
  33) openUP: CPU
38
  34) openwince drivers
39
  35) pancham: MD5 message digest algorithm
40
  36) Piranha: CPU Project
41
  37) pjrc
42
  38) PS-XPC84 board
43
  39) risc8: CPU Project
44
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
45
  41) rs-codec
46
  42) rstk
47
  43) Sayuri : CPU
48
  44) servomaster: servo motor
49
  45) slc1657: microcontroller
50
  46) SOC board
51
  47) T80 cpu
52
  48) te16: FPGA CPU
53
  49) Traja: Java Processor
54
  50) vhdlcohen: design files
55
  51) Some VHDL cores
56
  52) VHDL examples and tutorials
57
  53) wireless
58
  54) Wishbone SOC bus specifications
59
  55) xr16vx: CPU
60
  56) elphel
61
  57) wrec
62
  58) UTNios processor
63
  59) grlib (set of reusable IPs)
64
  60) Handasa Arabia site
65
  61) VLSI technology library
66
  62) Gadgetboard
67
  63) OpenEEG
68
  64) OpenHardware.ru
69
  65) simplyrisc processor
70
  66) OpenCPU processor
71
 
72
 
73
2. Tools (Tools 1 & 2 CDroms)
74
== Analysis
75
 1) altc (Arbitrary Tranmission Line Calculator)
76
 2) kfilter : filter design tool
77
 3) mpac (MicroStrip Patch Antenna Calculator)
78
 4) ntesla: coil calculator
79
 5) vipec: high frequency tool
80
 6) Turns-n
81
 7) fastcap
82
 8) fasteny
83
 9) induct
84
 10) sline
85
 11) FXTransformer : transformers tool
86
 12) wcalc
87
 13) gsmc+xsmc
88
 
89
 
90
== Design entry
91
  1) bitgen: converting digital bitstreams to analog voltage sources
92
  2) BoardStatus:
93
  3) Brusey20: state diagram editor
94
  4) chipmunk tools
95
  5) ChipVault: HDL hierarchy tool
96
  6) Circuit_macros for Latex
97
  7) circuitsimth:
98
  8) dia: block diagrams and flow charts drawing tool
99
  9) dtools: several scripting tools
100
  10) edascript
101
  11) eda-index
102
  12) edif-parser
103
  13) Electric: compiler and simulator. full IC design system
104
  14) Emacs modes: VHDL, Verilog ....
105
  15) fsm tool
106
  16) Gael:
107
  17) GDSreader: GDS files reader
108
  18) gEDA Tools: schematic and netlisting
109
  19) Grdrv for protel
110
  20) gtree
111
  21) HDLmaker   hierarchy tool
112
  22) IDaSS
113
  23) JARP petri net drawing tool
114
  24) NGpaint: Drawing tool
115
  25) NMICROCODER  microcode generator
116
  26) pinout: script for drwing chip pins
117
  27) qfsm: fsm drawing tool
118
  28)  REDS: Schematics and PCB
119
  29) SNV: verilog editor
120
  30) sarlacc: Orcad to geda convertor
121
  31) SimpleElib: electric library
122
  32) spp: Perl wrapper for Synopsys' shells
123
  33) tEDA
124
  34) trtab: truth table generator
125
  35) VGI: VHDL Graphical Interface
126
  36) Voyeur: design veiwer
127
  37) xcircuit: schematic drawing tool
128
  38) TinyCad: schematic drawing tool
129
  39) Gnetman: net manupilation tool
130
  40) DataDraw
131
  41) veditor: Verilog and VHDL editor
132
  42) Kicad
133
  43) jhdl-ide
134
 
135
 
136
== Instruments
137
  1) bsosc: Bitscope software
138
  2) GPIB-tcl: General purpose interface bus TCL extension
139
  3) Kdmm: DMM
140
  4) multimeter
141
  5) ODDAS: Open Source DAS software
142
  6) oscope
143
  7) qtDMM : DMM
144
  8) qtDSO: DSO
145
  9) scope
146
  10) zmeter :Serial Digital Multimeter Interface
147
  11) qoscc: DSO
148
 
149
== IC layout /VLSI
150
   1) Magic: IC layout tool
151
   2) p2m: Image to layout tool
152
   3) Chipmunk
153
   4) MGEN
154
   5) net
155
   6) pplot
156
   7) octtools
157
   8) PDTools
158
   9) LayoutEditor
159
   10) toped
160
   11) xchiplogo
161
 
162
== PCB layout
163
   1) gbliter: Gerber Printed Circuit Board Tiling Utility
164
   2) gerb2tif : gerper file to tiff convertor
165
   3) gerber2pdf
166
   4) gerbv : gerber file viewer
167
   5) kic
168
   6) lasagne: PCB layout tool
169
   7) mucs
170
   8) PCB layout tool
171
   9) pcbca
172
   10) punto
173
   11) traxlaserplot
174
   12) xelenECAD
175
   13) xmlpcbrender
176
   14) xroadmaker
177
   15) gerbmerge
178
   16) gerber2eps
179
   17) gervJava
180
   18) pcbeditor
181
   19) Free PCB
182
   20) Kicad
183
   21) pcb
184
 
185
== uC tools
186
  1) asm_c84
187
  2) Broccoli18
188
  3) gpasm
189
  4) gpprog
190
  5) gpsim
191
  6) npc
192
  7) pic_cc PIC compiler
193
  8) picprog PIC driver
194
  9) picasm
195
  10) picdis
196
  11) picsim
197
  12) prog84-0.9
198
  13) Pp06: PP06 Programmer Software
199
  14) Sdcc
200
  15) vc
201
  16) xnitpic X Window Simulator for PIC
202
  17) gpicd
203
  18) ptkl
204
  19) yapide
205
  20) KTechlab
206
  21) PiKdev
207
  22) MicroDev
208
  23) Odyssey
209
  24) piklab
210
  25) EVBU
211
  26) Sam_I_Am
212
 
213
 
214
== PLD
215
  1) JBits-Interface
216
  2) palstuff
217
  3) Vpr PPR tool
218
  4) xnf-tools
219
  5) xstools: xess boards tools
220
  6) ptgnlfsr
221
  7) JHDL
222
  8) asfpga
223
  9) virtextools
224
  10) vpr_virtex
225
  11) fpgaC
226
 
227
== Simulation
228
  1) alice OpenVera tool
229
  2) cynLib verification tool
230
  3) danalyzer: Digital Logic Analyzer
231
  4) DigiTcl: Digital simulator
232
  5) dinotrace:  viewing signal wave forms
233
  6) gmos: Semiconductor simulator
234
  7) gossip-sim: synchronous data flow simulation
235
  8) gtkwave
236
  9) gwave: wave form viewer
237
  10) hadlop230 : simulation  for digital optoelectronic
238
  11) irsim: logic simulator for MOS
239
  12) klogic
240
  13) pcisim: PCI simulator
241
  14) pns
242
  15) polis
243
  16) ptolemy: communication and DSP simulators
244
  17) retro
245
  18) s5: simulation of programnable logic controler
246
  19) SIMsynch:
247
  20) thud:  RTL simulation environment
248
  21) Timver: timing verify circuits against specifications
249
  22) tkgate: event-driven simulator
250
  23) Udl/I:Unified Design Language for Integrated Circuits
251
  24) vstgl: Visual STG Lab
252
  25) SUGAR MEMS simulator
253
  26) jsim
254
  27) dlsim
255
  28) krelais
256
  29) tlogsim
257
  30) SS1
258
  31) ksimus
259
  32) decida
260
  33) gnucap
261
  34) ViPEC
262
  35) MNEMS
263
  36) SAMSA
264
  37) GPLCver
265
  38) qucs
266
  39) SIMSYNCH
267
  40) FlowDesign
268
  41) cider
269
 
270
== Spice
271
 1) Al's Circuit Simulator
272
 2) autochar "Automatic cell characterization of digital circuits.
273
 3) gSpice
274
 4) hsview: Simulation viewer
275
 5) macspice
276
 6) ng-spice : Spice
277
 7) oregano: schematic capture and simulation
278
 8) spice3f5 : Spice
279
 9) spicecad : schematic entry for the anlog simulator
280
 10) Spice-perl scripts
281
 11) spicepp  spice scripts
282
 12) spiceprm spice preprocessor
283
 13) wave 2 hspice tool
284
 14) jjmodel
285
 15) Spice +
286
 16) ASCO
287
 17) easyspice
288
 18) eispice
289
 19) kjwaves
290
 
291
== Synthesis
292
 1) Alliance : VHDL compiler, simulator and synthesis tool
293
 2) Boolean:
294
 3) Espresso
295
 4) icarus VERILOG COMPILATION SYSTEM
296
 5) Udl/I:Unified Design Language for Integrated Circuits
297
 6) Boolean Simplification
298
 7) boolstuff : boolean tools
299
 8) qmc
300
 9) MVSIS
301
 10) bexpred
302
 11) balsa
303
 
304
== System Level
305
 1) GreenSocs
306
 2) System-vergs
307
 3) SystemPerl
308
 
309
== Testing
310
- 1) atpg
311
  2) pystdf
312
 
313
== Verification
314
  1) Covered: Coverage Tool  (added)
315
  2) Fcoverage:  Functional coverage tool (added)
316
  3) JEDA:  hardware design verification  Programming Language  (added)
317
  4) RHDL
318
  5) MyHDL
319
  6) NuSMV
320
  7) vtracer
321
  8) confluence
322
  9) systemperl
323
  10) Teal
324
  11) hdcaml
325
  12) jove
326
 
327
 
328
 == Verilog
329
  1) dinotrace
330
  2) Gslondon
331
  3) icarus VERILOG COMPILATION SYSTEM
332
  4) io    Reading files from Verilog models
333
  5) ivl
334
  6) parser
335
  7) pli_socket_example
336
  8) smi
337
  9) V2k    parser, database, and elaborator.
338
  10) VBS    Verilog Behavioral Simulation
339
  11) Vcd wave veiwer
340
  12) ver    Structural Verilog Compiler.
341
  13) Verilog2C++ : verilog to C++ convertor
342
  14) verilog 2 vhdl
343
  15) Verilog Perl Package
344
  16) Verilog-Pli
345
  17) vex
346
  18) vIDE Editor
347
  19) vtrace
348
  20) VL
349
  21) vpp
350
  22) IVI
351
  23) v-ms Verilog AMS
352
  24) csv-verilog-maker
353
  25) cver
354
  26) Veritlator
355
  27) informal
356
  28) sc2v
357
  29) Mariana
358
  30) veriwell
359
  31) ScriptSim
360
  32) voneline
361
 
362
 
363
== VHDL
364
 1) Alliance : compiler, simulator and synthesis tool
365
 2) blowfish
366
 3) vhd2vl: vhdl to verilog convertor
367
 4) vhdl2html convertor
368
 5) vhdl2verilog convertor
369
 6) Fio package
370
 7) freehdl :vhdl compiler
371
 8) Gslondon
372
 9) savant : vhdl simulator
373
 10) vdt : vhdl compiler
374
 11) VHDL++
375
 12) VHDL-parser :
376
 13) Some VHDL tools
377
 14) Xfuzzy : vhdl tool for fuzzy system
378
 15) freehdl
379
 16) ghdl
380
 17) VHDL2vlg
381
 18) signs
382
 19) VHDL parser
383
 
384
== Factory and other tools
385
   1) bdm: mpc850 BDM software and design
386
   2) can controller tool
387
   3) ColdFire: emulator
388
   4) e_factory
389
   5) emc: Enhanced Machine Controller
390
   6) gbi Parallel port control
391
   7) io: IO interfacing board software
392
   8) JTAG utility
393
   9) ppc
394
   10) protoype
395
   11) ianjtag
396
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
397
   13) jyusecs
398
   14) jtag-tools
399
   15) JTAG-O-MAT
400
 
401
== ROM Programmers
402
   1)  Eep
403
   2)  spiprog
404
   3)  srecord
405
   4) beprommer
406
   5) ppep
407
 
408
== Modeling
409
1) simuted
410
2) Gezel
411
3) Plois
412
4) Potlemy II
413
5) GreeSOCs
414
6) sc2ast
415
 
416
3. OpenCores.org (OC designs CDrom)
417
 OpenCores site and CVS images
418
 
419
 
420
4. Extra Utilities (Tools 1 CDrom)
421
   1) Emacs   : editor
422
   2) Xemacs  : editor
423
   3) nEdit   : editor
424
   4) WinCvs  : CVS
425
   5) tcsh: OS shell (wiondows and Unix)
426
   6) Perl
427
   7) glimmer: editor
428
 
429
5. Documents (Designs cdrom)
430
  1) VHDL-FAQ
431
  2) VLSI lectures
432
  3) CVS book
433
 
434
6.   Some Libraries needed by some tools (Tools CDrom @)
435
 
436
7. OpenRisk1000 processor (OpenRisk CDroms 1&2)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.