OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [java/] [capture_20190122_1546_30.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
`timescale 1ns / 10ps
2
 
3
module capture_20190122_1546_30(clk_of_verifla, la_trigger_matched, cnta, cntb, memory_line_id);
4
 
5
output clk_of_verifla;
6
output la_trigger_matched;
7
output [16:0] memory_line_id;
8
output [7:0] cnta;
9
output [7:0] cntb;
10
reg [7:0] cnta;
11
reg [7:0] cntb;
12
reg [16:0] memory_line_id;
13
reg la_trigger_matched;
14
reg clk_of_verifla;
15
 
16
parameter PERIOD = 20;
17
initial    // Clock process for clk_of_verifla
18
begin
19
    forever
20
    begin
21
        clk_of_verifla = 1'b0;
22
        #(10); clk_of_verifla = 1'b1;
23
        #(10);
24
    end
25
end
26
 
27
initial begin
28
#(10);
29
la_trigger_matched = 0;
30
memory_line_id=0;
31
{cntb,cnta} = 16'b0000000000000001;
32
#40;
33
// -------------  Current Time:  50*(1ns) 
34
memory_line_id=1;
35
{cntb,cnta} = 16'b0000000100000011;
36
#40;
37
// -------------  Current Time:  90*(1ns) 
38
memory_line_id=8;
39
{cntb,cnta} = 16'b0000001000000101;
40
la_trigger_matched = 1;
41
#40;
42
// -------------  Current Time:  130*(1ns) 
43
memory_line_id=9;
44
{cntb,cnta} = 16'b0000001100000111;
45
#40;
46
// -------------  Current Time:  170*(1ns) 
47
memory_line_id=10;
48
{cntb,cnta} = 16'b0000010000001001;
49
#40;
50
// -------------  Current Time:  210*(1ns) 
51
memory_line_id=11;
52
{cntb,cnta} = 16'b0000010100001011;
53
#40;
54
// -------------  Current Time:  250*(1ns) 
55
memory_line_id=12;
56
{cntb,cnta} = 16'b0000011000001101;
57
#40;
58
// -------------  Current Time:  290*(1ns) 
59
memory_line_id=13;
60
{cntb,cnta} = 16'b0000011100001111;
61
#40;
62
// -------------  Current Time:  330*(1ns) 
63
memory_line_id=14;
64
{cntb,cnta} = 16'b0000100000010001;
65
#40;
66
// -------------  Current Time:  370*(1ns) 
67
memory_line_id=15;
68
{cntb,cnta} = 16'b0000100100010011;
69
#40;
70
// -------------  Current Time:  410*(1ns) 
71
memory_line_id=16;
72
{cntb,cnta} = 16'b0000101000010101;
73
#40;
74
// -------------  Current Time:  450*(1ns) 
75
memory_line_id=17;
76
{cntb,cnta} = 16'b0000101100010111;
77
#40;
78
// -------------  Current Time:  490*(1ns) 
79
memory_line_id=18;
80
{cntb,cnta} = 16'b0000110000011001;
81
#40;
82
// -------------  Current Time:  530*(1ns) 
83
memory_line_id=19;
84
{cntb,cnta} = 16'b0000110100011011;
85
#40;
86
// -------------  Current Time:  570*(1ns) 
87
memory_line_id=20;
88
{cntb,cnta} = 16'b0000111000011101;
89
#40;
90
// -------------  Current Time:  610*(1ns) 
91
memory_line_id=21;
92
{cntb,cnta} = 16'b0000111100011111;
93
#40;
94
// -------------  Current Time:  650*(1ns) 
95
memory_line_id=22;
96
{cntb,cnta} = 16'b0001000000100001;
97
#40;
98
// -------------  Current Time:  690*(1ns) 
99
memory_line_id=23;
100
{cntb,cnta} = 16'b0001000100100011;
101
#40;
102
// -------------  Current Time:  730*(1ns) 
103
memory_line_id=24;
104
{cntb,cnta} = 16'b0001001000100101;
105
#40;
106
// -------------  Current Time:  770*(1ns) 
107
memory_line_id=25;
108
{cntb,cnta} = 16'b0001001100100111;
109
#40;
110
// -------------  Current Time:  810*(1ns) 
111
memory_line_id=26;
112
{cntb,cnta} = 16'b0001010000101001;
113
#40;
114
// -------------  Current Time:  850*(1ns) 
115
memory_line_id=27;
116
{cntb,cnta} = 16'b0001010100101011;
117
#40;
118
// -------------  Current Time:  890*(1ns) 
119
memory_line_id=28;
120
{cntb,cnta} = 16'b0001011000101101;
121
#40;
122
// -------------  Current Time:  930*(1ns) 
123
memory_line_id=29;
124
{cntb,cnta} = 16'b0001011100101111;
125
#40;
126
// -------------  Current Time:  970*(1ns) 
127
memory_line_id=30;
128
{cntb,cnta} = 16'b0001100000110001;
129
#40;
130
// -------------  Current Time:  1010*(1ns) 
131
memory_line_id=31;
132
{cntb,cnta} = 16'b0001100100110011;
133
#40;
134
// -------------  Current Time:  1050*(1ns) 
135
memory_line_id=32;
136
{cntb,cnta} = 16'b0001101000110101;
137
#40;
138
// -------------  Current Time:  1090*(1ns) 
139
memory_line_id=33;
140
{cntb,cnta} = 16'b0001101100110111;
141
#40;
142
// -------------  Current Time:  1130*(1ns) 
143
memory_line_id=34;
144
{cntb,cnta} = 16'b0001110000111001;
145
#40;
146
// -------------  Current Time:  1170*(1ns) 
147
memory_line_id=35;
148
{cntb,cnta} = 16'b0001110100111011;
149
#40;
150
// -------------  Current Time:  1210*(1ns) 
151
memory_line_id=36;
152
{cntb,cnta} = 16'b0001111000111101;
153
#40;
154
// -------------  Current Time:  1250*(1ns) 
155
memory_line_id=37;
156
{cntb,cnta} = 16'b0001111100111111;
157
#40;
158
// -------------  Current Time:  1290*(1ns) 
159
memory_line_id=38;
160
{cntb,cnta} = 16'b0010000001000001;
161
#40;
162
// -------------  Current Time:  1330*(1ns) 
163
memory_line_id=39;
164
{cntb,cnta} = 16'b0010000101000011;
165
#40;
166
// -------------  Current Time:  1370*(1ns) 
167
memory_line_id=40;
168
{cntb,cnta} = 16'b0010001001000101;
169
#40;
170
// -------------  Current Time:  1410*(1ns) 
171
memory_line_id=41;
172
{cntb,cnta} = 16'b0010001101000111;
173
#40;
174
// -------------  Current Time:  1450*(1ns) 
175
memory_line_id=42;
176
{cntb,cnta} = 16'b0010010001001001;
177
#40;
178
// -------------  Current Time:  1490*(1ns) 
179
memory_line_id=43;
180
{cntb,cnta} = 16'b0010010101001011;
181
#40;
182
// -------------  Current Time:  1530*(1ns) 
183
memory_line_id=44;
184
{cntb,cnta} = 16'b0010011001001101;
185
#40;
186
// -------------  Current Time:  1570*(1ns) 
187
memory_line_id=45;
188
{cntb,cnta} = 16'b0010011101001111;
189
#40;
190
// -------------  Current Time:  1610*(1ns) 
191
memory_line_id=46;
192
{cntb,cnta} = 16'b0010100001010001;
193
#40;
194
// -------------  Current Time:  1650*(1ns) 
195
memory_line_id=47;
196
{cntb,cnta} = 16'b0010100101010011;
197
#40;
198
// -------------  Current Time:  1690*(1ns) 
199
memory_line_id=48;
200
{cntb,cnta} = 16'b0010101001010101;
201
#40;
202
// -------------  Current Time:  1730*(1ns) 
203
memory_line_id=49;
204
{cntb,cnta} = 16'b0010101101010111;
205
#40;
206
// -------------  Current Time:  1770*(1ns) 
207
memory_line_id=50;
208
{cntb,cnta} = 16'b0010110001011001;
209
#40;
210
// -------------  Current Time:  1810*(1ns) 
211
memory_line_id=51;
212
{cntb,cnta} = 16'b0010110101011011;
213
#40;
214
// -------------  Current Time:  1850*(1ns) 
215
memory_line_id=52;
216
{cntb,cnta} = 16'b0010111001011101;
217
#40;
218
// -------------  Current Time:  1890*(1ns) 
219
memory_line_id=53;
220
{cntb,cnta} = 16'b0010111101011111;
221
#40;
222
// -------------  Current Time:  1930*(1ns) 
223
memory_line_id=54;
224
{cntb,cnta} = 16'b0011000001100001;
225
#40;
226
// -------------  Current Time:  1970*(1ns) 
227
memory_line_id=55;
228
{cntb,cnta} = 16'b0011000101100011;
229
#40;
230
// -------------  Current Time:  2010*(1ns) 
231
memory_line_id=56;
232
{cntb,cnta} = 16'b0011001001100101;
233
#40;
234
// -------------  Current Time:  2050*(1ns) 
235
memory_line_id=57;
236
{cntb,cnta} = 16'b0011001101100111;
237
#40;
238
// -------------  Current Time:  2090*(1ns) 
239
memory_line_id=58;
240
{cntb,cnta} = 16'b0011010001101001;
241
#40;
242
// -------------  Current Time:  2130*(1ns) 
243
memory_line_id=59;
244
{cntb,cnta} = 16'b0011010101101011;
245
#40;
246
// -------------  Current Time:  2170*(1ns) 
247
memory_line_id=60;
248
{cntb,cnta} = 16'b0011011001101101;
249
#40;
250
// -------------  Current Time:  2210*(1ns) 
251
memory_line_id=61;
252
{cntb,cnta} = 16'b0011011101101111;
253
#40;
254
// -------------  Current Time:  2250*(1ns) 
255
memory_line_id=62;
256
{cntb,cnta} = 16'b0011100001110001;
257
#40;
258
// -------------  Current Time:  2290*(1ns) 
259
$stop;
260
end
261
endmodule
262
/*
263
ORIGINAL CAPTURE DUMP
264
memory_line_id=0: 02 00 01
265
memory_line_id=1: 02 01 03
266
memory_line_id=2: 00 00 00
267
memory_line_id=3: 00 00 00
268
memory_line_id=4: 00 00 00
269
memory_line_id=5: 00 00 00
270
memory_line_id=6: 00 00 00
271
memory_line_id=7: 00 00 00
272
memory_line_id=8: 02 02 05
273
memory_line_id=9: 02 03 07
274
memory_line_id=10: 02 04 09
275
memory_line_id=11: 02 05 0B
276
memory_line_id=12: 02 06 0D
277
memory_line_id=13: 02 07 0F
278
memory_line_id=14: 02 08 11
279
memory_line_id=15: 02 09 13
280
memory_line_id=16: 02 0A 15
281
memory_line_id=17: 02 0B 17
282
memory_line_id=18: 02 0C 19
283
memory_line_id=19: 02 0D 1B
284
memory_line_id=20: 02 0E 1D
285
memory_line_id=21: 02 0F 1F
286
memory_line_id=22: 02 10 21
287
memory_line_id=23: 02 11 23
288
memory_line_id=24: 02 12 25
289
memory_line_id=25: 02 13 27
290
memory_line_id=26: 02 14 29
291
memory_line_id=27: 02 15 2B
292
memory_line_id=28: 02 16 2D
293
memory_line_id=29: 02 17 2F
294
memory_line_id=30: 02 18 31
295
memory_line_id=31: 02 19 33
296
memory_line_id=32: 02 1A 35
297
memory_line_id=33: 02 1B 37
298
memory_line_id=34: 02 1C 39
299
memory_line_id=35: 02 1D 3B
300
memory_line_id=36: 02 1E 3D
301
memory_line_id=37: 02 1F 3F
302
memory_line_id=38: 02 20 41
303
memory_line_id=39: 02 21 43
304
memory_line_id=40: 02 22 45
305
memory_line_id=41: 02 23 47
306
memory_line_id=42: 02 24 49
307
memory_line_id=43: 02 25 4B
308
memory_line_id=44: 02 26 4D
309
memory_line_id=45: 02 27 4F
310
memory_line_id=46: 02 28 51
311
memory_line_id=47: 02 29 53
312
memory_line_id=48: 02 2A 55
313
memory_line_id=49: 02 2B 57
314
memory_line_id=50: 02 2C 59
315
memory_line_id=51: 02 2D 5B
316
memory_line_id=52: 02 2E 5D
317
memory_line_id=53: 02 2F 5F
318
memory_line_id=54: 02 30 61
319
memory_line_id=55: 02 31 63
320
memory_line_id=56: 02 32 65
321
memory_line_id=57: 02 33 67
322
memory_line_id=58: 02 34 69
323
memory_line_id=59: 02 35 6B
324
memory_line_id=60: 02 36 6D
325
memory_line_id=61: 02 37 6F
326
memory_line_id=62: 02 38 71
327
memory_line_id=63: 00 00 01
328
*/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.