OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [verilog/] [verifla/] [top_of_verifla.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
/*
2
file: top_of_verifla.v
3
license: GNU GPL
4
Revision history
5
revision date: 2007/Sep/03; author: Laurentiu DUCA
6
- sys_run: an internal possible run command
7
- combined_reset_low which allows the user to reset the monitor
8
 
9
revision date: 2007/Jul/4; author: Laurentiu DUCA
10
- v01
11
*/
12
 
13
 
14
module top_of_verifla(clk, rst_l, sys_run, data_in,
15
                                // Transceiver
16
                                uart_XMIT_dataH, uart_REC_dataH
17
                                );
18
 
19
`include "common_internal_verifla.v"
20
 
21
input clk, rst_l, sys_run;
22
input [LA_DATA_INPUT_WORDLEN_BITS-1:0] data_in;
23
output uart_XMIT_dataH;
24
input uart_REC_dataH;
25
 
26
// App. specific.
27
wire [LA_MEM_WORDLEN_BITS-1:0] mem_port_A_data_in, mem_port_B_dout;
28
wire [LA_MEM_ADDRESS_BITS-1:0] mem_port_A_address, mem_port_B_address;
29
wire mem_port_A_wen;
30
wire user_run;
31
wire sc_run, ack_sc_run, sc_done;
32
 
33
// Transceiver
34
wire [7:0] xmit_dataH;
35
wire xmit_doneH;
36
wire xmitH;
37
// Receiver
38
wire [7:0] rec_dataH;
39
wire rec_readyH;
40
// Baud
41
wire baud_clk_posedge;
42
 
43
uart_of_verifla iUART (clk, rst_l, baud_clk_posedge,
44
                                // Transmitter
45
                                uart_XMIT_dataH, xmitH, xmit_dataH, xmit_doneH,
46
                                // Receiver
47
                                uart_REC_dataH, rec_dataH, rec_readyH);
48
 
49
memory_of_verifla mi (
50
        .addra(mem_port_A_address),     .addrb(mem_port_B_address),
51
        .clk(clk),      .rst_l(rst_l),
52
        .dina(mem_port_A_data_in),      .doutb(mem_port_B_dout),
53
        .wea(mem_port_A_wen));
54
 
55
computer_input_of_verifla ci (clk, rst_l,
56
        rec_dataH, rec_readyH, user_run);
57
 
58
monitor_of_verifla mon (clk, rst_l,
59
                sys_run, user_run, data_in,
60
                mem_port_A_address, mem_port_A_data_in, mem_port_A_wen,
61
                ack_sc_run, sc_done, sc_run);
62
// send_capture_of_verifla must use the same reset as the uart.
63
send_capture_of_verifla sc (clk, rst_l, baud_clk_posedge,
64
        sc_run, ack_sc_run, sc_done,
65
        mem_port_B_address, mem_port_B_dout,
66
        xmit_doneH, xmitH, xmit_dataH);
67
 
68
endmodule
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.