OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

[/] [openverifla/] [trunk/] [openverifla_2.4/] [verilog/] [verifla/] [uart_of_verifla.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 laurentiud
/*
2
Update: Laurentiu Duca, 20180808_1200:
3
        - consider baud_clk_posedge
4
*/
5
module uart_of_verifla  (       sys_clk,
6
                                sys_rst_l,
7
                                baud_clk_posedge,
8
 
9
                                // Transmitter
10
                                uart_XMIT_dataH,
11
                                xmitH,
12
                                xmit_dataH,
13
                                xmit_doneH,
14
 
15
                                // Receiver
16
                                uart_REC_dataH,
17
                                rec_dataH,
18
                                rec_readyH
19
                        );
20
 
21
input                   sys_clk;
22
input                   sys_rst_l;
23
output          baud_clk_posedge;
24
 
25
// Trasmitter
26
output                  uart_XMIT_dataH;
27
input                   xmitH;
28
input   [7:0]    xmit_dataH;
29
output                  xmit_doneH;
30
 
31
// Receiver
32
input                   uart_REC_dataH;
33
output  [7:0]    rec_dataH;
34
output                  rec_readyH;
35
 
36
wire                    baud_clk_posedge;
37
wire    [7:0]    rec_dataH;
38
wire                    rec_readyH;
39
 
40
 
41
 
42
// Instantiate the Transmitter
43
u_xmit_of_verifla txd1 (
44
                .clk_i(sys_clk),
45
                .rst_i(!sys_rst_l),
46
                .baud_clk_posedge(baud_clk_posedge),
47
                .data_i(xmit_dataH),
48
                .wen_i(xmitH),
49
                .txd_o(uart_XMIT_dataH),
50
                .tre_o(xmit_doneH)
51
        );
52
/*
53
u_xmit  iXMIT(  .sys_clk(baud_clk),
54
                                .sys_rst_l(sys_rst_l),
55
 
56
                                .uart_xmitH(uart_XMIT_dataH),
57
                                .xmitH(xmitH),
58
                                .xmit_dataH(xmit_dataH),
59
                                .xmit_doneH(xmit_doneH)
60
                        );
61
*/
62
 
63
// Instantiate the Receiver
64
u_rec_of_verifla rxd1(
65
                .clk_i(sys_clk),
66
                .rst_i(!sys_rst_l),//system signal
67
                .baud_clk_posedge(baud_clk_posedge),
68
                .rxd_i(uart_REC_dataH),//serial data in
69
                .rdy_o (rec_readyH), .data_o(rec_dataH) //data ready and parallel data out signal
70
                );
71
/*
72
u_rec iRECEIVER (// system connections
73
                                .sys_rst_l(sys_rst_l),
74
                                .sys_clk(baud_clk),
75
                                // uart
76
                                .uart_dataH(uart_REC_dataH),
77
                                .rec_dataH(rec_dataH),
78
                                .rec_readyH(rec_readyH)
79
                                );
80
*/
81
 
82
// Instantiate the Baud Rate Generator
83
 
84
baud_of_verifla baud1(  .sys_clk(sys_clk),
85
                        .sys_rst_l(sys_rst_l),
86
                        .baud_clk_posedge(baud_clk_posedge)
87
                );
88
 
89
/*
90
reg [2:0] baud_clk_vec=0;
91
always @(posedge sys_clk or negedge sys_rst_l)
92
begin
93
        if(~sys_rst_l)
94
                baud_clk_vec = 0;
95
        else
96
                baud_clk_vec = {baud_clk_vec[1:0], baud_clk};
97
end
98
wire baud_clk_posedge;
99
assign baud_clk_posedge=baud_clk_vec[2:1]==2'b01;
100
*/
101
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.