OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm2/] [verilog/] [or1200_ic_fsm.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's IC FSM                                             ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Insn cache state machine                                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.9  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.8.4.1  2003/07/08 15:36:37  lampret
51
// Added embedded memory QMEM.
52
//
53
// Revision 1.8  2003/06/06 02:54:47  lampret
54
// When OR1200_NO_IMMU and OR1200_NO_IC are not both defined or undefined at the same time, results in a IC bug. Fixed.
55
//
56
// Revision 1.7  2002/03/29 15:16:55  lampret
57
// Some of the warnings fixed.
58
//
59
// Revision 1.6  2002/03/28 19:10:40  lampret
60
// Optimized cache controller FSM.
61
//
62
// Revision 1.1.1.1  2002/03/21 16:55:45  lampret
63
// First import of the "new" XESS XSV environment.
64
//
65
//
66
// Revision 1.5  2002/02/11 04:33:17  lampret
67
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
68
//
69
// Revision 1.4  2002/02/01 19:56:54  lampret
70
// Fixed combinational loops.
71
//
72
// Revision 1.3  2002/01/28 01:16:00  lampret
73
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
74
//
75
// Revision 1.2  2002/01/14 06:18:22  lampret
76
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
77
//
78
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81
// Revision 1.9  2001/10/21 17:57:16  lampret
82
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
83
//
84
// Revision 1.8  2001/10/19 23:28:46  lampret
85
// Fixed some synthesis warnings. Configured with caches and MMUs.
86
//
87
// Revision 1.7  2001/10/14 13:12:09  lampret
88
// MP3 version.
89
//
90
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
91
// no message
92
//
93
// Revision 1.2  2001/08/09 13:39:33  lampret
94
// Major clean-up.
95
//
96
// Revision 1.1  2001/07/20 00:46:03  lampret
97
// Development version of RTL. Libraries are missing.
98
//
99
//
100
 
101
// synopsys translate_off
102
`include "timescale.v"
103
// synopsys translate_on
104
`include "or1200_defines.v"
105
 
106
`define OR1200_ICFSM_IDLE       2'd0
107
`define OR1200_ICFSM_CFETCH     2'd1
108
`define OR1200_ICFSM_LREFILL3   2'd2
109
`define OR1200_ICFSM_IFETCH     2'd3
110
 
111
//
112
// Data cache FSM for cache line of 16 bytes (4x singleword)
113
//
114
 
115
module or1200_ic_fsm_cm2(
116
                clk_i_cml_1,
117
 
118
        // Clock and reset
119
        clk, rst,
120
 
121
        // Internal i/f to top level IC
122
        ic_en, icqmem_cycstb_i, icqmem_ci_i,
123
        tagcomp_miss, biudata_valid, biudata_error, start_addr, saved_addr,
124
        icram_we, biu_read, first_hit_ack, first_miss_ack, first_miss_err,
125
        burst, tag_we
126
);
127
 
128
 
129
input clk_i_cml_1;
130
reg  ic_en_cml_1;
131
reg  tagcomp_miss_cml_1;
132
reg  biudata_valid_cml_1;
133
reg  biudata_error_cml_1;
134
reg [ 31 : 0 ] saved_addr_r_cml_1;
135
reg [ 1 : 0 ] state_cml_1;
136
reg [ 2 : 0 ] cnt_cml_1;
137
reg  hitmiss_eval_cml_1;
138
reg  load_cml_1;
139
reg  cache_inhibit_cml_1;
140
 
141
 
142
 
143
//
144
// I/O
145
//
146
input                           clk;
147
input                           rst;
148
input                           ic_en;
149
input                           icqmem_cycstb_i;
150
input                           icqmem_ci_i;
151
input                           tagcomp_miss;
152
input                           biudata_valid;
153
input                           biudata_error;
154
input   [31:0]                   start_addr;
155
output  [31:0]                   saved_addr;
156
output  [3:0]                    icram_we;
157
output                          biu_read;
158
output                          first_hit_ack;
159
output                          first_miss_ack;
160
output                          first_miss_err;
161
output                          burst;
162
output                          tag_we;
163
 
164
//
165
// Internal wires and regs
166
//
167
reg     [31:0]                   saved_addr_r;
168
reg     [1:0]                    state;
169
reg     [2:0]                    cnt;
170
reg                             hitmiss_eval;
171
reg                             load;
172
reg                             cache_inhibit;
173
 
174
//
175
// Generate of ICRAM write enables
176
//
177
 
178
// SynEDA CoreMultiplier
179
// assignment(s): icram_we
180
// replace(s): biudata_valid, cache_inhibit
181
assign icram_we = {4{biu_read & biudata_valid_cml_1 & !cache_inhibit_cml_1}};
182
 
183
 
184
// SynEDA CoreMultiplier
185
// assignment(s): tag_we
186
// replace(s): biudata_valid, cache_inhibit
187
assign tag_we = biu_read & biudata_valid_cml_1 & !cache_inhibit_cml_1;
188
 
189
//
190
// BIU read and write
191
//
192
 
193
// SynEDA CoreMultiplier
194
// assignment(s): biu_read
195
// replace(s): tagcomp_miss, hitmiss_eval, load
196
assign biu_read = (hitmiss_eval_cml_1 & tagcomp_miss_cml_1) | (!hitmiss_eval_cml_1 & load_cml_1);
197
 
198
//assign saved_addr = hitmiss_eval ? start_addr : saved_addr_r;
199
assign saved_addr = saved_addr_r;
200
 
201
//
202
// Assert for cache hit first word ready
203
// Assert for cache miss first word stored/loaded OK
204
// Assert for cache miss first word stored/loaded with an error
205
//
206
assign first_hit_ack = (state == `OR1200_ICFSM_CFETCH) & hitmiss_eval & !tagcomp_miss & !cache_inhibit & !icqmem_ci_i;
207
assign first_miss_ack = (state == `OR1200_ICFSM_CFETCH) & biudata_valid;
208
assign first_miss_err = (state == `OR1200_ICFSM_CFETCH) & biudata_error;
209
 
210
//
211
// Assert burst when doing reload of complete cache line
212
//
213
 
214
// SynEDA CoreMultiplier
215
// assignment(s): burst
216
// replace(s): tagcomp_miss, state, cache_inhibit
217
assign burst = (state_cml_1 == `OR1200_ICFSM_CFETCH) & tagcomp_miss_cml_1 & !cache_inhibit_cml_1
218
                | (state_cml_1 == `OR1200_ICFSM_LREFILL3);
219
 
220
//
221
// Main IC FSM
222
//
223
 
224
// SynEDA CoreMultiplier
225
// assignment(s): saved_addr_r, state, cnt, hitmiss_eval, load, cache_inhibit
226
// replace(s): ic_en, tagcomp_miss, biudata_valid, biudata_error, saved_addr_r, state, cnt, hitmiss_eval, cache_inhibit, load
227
always @(posedge clk or posedge rst) begin
228
        if (rst) begin
229
                state <= #1 `OR1200_ICFSM_IDLE;
230
                saved_addr_r <= #1 32'b0;
231
                hitmiss_eval <= #1 1'b0;
232
                load <= #1 1'b0;
233
                cnt <= #1 3'b000;
234
                cache_inhibit <= #1 1'b0;
235
        end
236
        else begin  cache_inhibit <= cache_inhibit_cml_1; load <= load_cml_1; hitmiss_eval <= hitmiss_eval_cml_1; cnt <= cnt_cml_1; state <= state_cml_1; saved_addr_r <= saved_addr_r_cml_1;
237
        case (state_cml_1)      // synopsys parallel_case
238
                `OR1200_ICFSM_IDLE :
239
                        if (ic_en_cml_1 & icqmem_cycstb_i) begin                // fetch
240
                                state <= #1 `OR1200_ICFSM_CFETCH;
241
                                saved_addr_r <= #1 start_addr;
242
                                hitmiss_eval <= #1 1'b1;
243
                                load <= #1 1'b1;
244
                                cache_inhibit <= #1 1'b0;
245
                        end
246
                        else begin                                                      // idle
247
                                hitmiss_eval <= #1 1'b0;
248
                                load <= #1 1'b0;
249
                                cache_inhibit <= #1 1'b0;
250
                        end
251
                `OR1200_ICFSM_CFETCH: begin     // fetch
252
                        if (icqmem_cycstb_i & icqmem_ci_i)
253
                                cache_inhibit <= #1 1'b1;
254
                        if (hitmiss_eval_cml_1)
255
                                saved_addr_r[31:13] <= #1 start_addr[31:13];
256
                        if ((!ic_en_cml_1) ||
257
                            (hitmiss_eval_cml_1 & !icqmem_cycstb_i) ||  // fetch aborted (usually caused by IMMU)
258
                            (biudata_error_cml_1) ||                                            // fetch terminated with an error
259
                            (cache_inhibit_cml_1 & biudata_valid_cml_1)) begin  // fetch from cache-inhibited page
260
                                state <= #1 `OR1200_ICFSM_IDLE;
261
                                hitmiss_eval <= #1 1'b0;
262
                                load <= #1 1'b0;
263
                                cache_inhibit <= #1 1'b0;
264
                        end
265
                        else if (tagcomp_miss_cml_1 & biudata_valid_cml_1) begin        // fetch missed, finish current external fetch and refill
266
                                state <= #1 `OR1200_ICFSM_LREFILL3;
267
                                saved_addr_r[3:2] <= #1 saved_addr_r_cml_1[3:2] + 1'd1;
268
                                hitmiss_eval <= #1 1'b0;
269
                                cnt <= #1 `OR1200_ICLS-2;
270
                                cache_inhibit <= #1 1'b0;
271
                        end
272
                        else if (!tagcomp_miss_cml_1 & !icqmem_ci_i) begin      // fetch hit, finish immediately
273
                                saved_addr_r <= #1 start_addr;
274
                                cache_inhibit <= #1 1'b0;
275
                        end
276
                        else if (!icqmem_cycstb_i) begin        // fetch aborted (usually caused by exception)
277
                                state <= #1 `OR1200_ICFSM_IDLE;
278
                                hitmiss_eval <= #1 1'b0;
279
                                load <= #1 1'b0;
280
                                cache_inhibit <= #1 1'b0;
281
                        end
282
                        else                                            // fetch in-progress
283
                                hitmiss_eval <= #1 1'b0;
284
                end
285
                `OR1200_ICFSM_LREFILL3 : begin
286
                        if (biudata_valid_cml_1 && (|cnt_cml_1)) begin          // refill ack, more fetchs to come
287
                                cnt <= #1 cnt_cml_1 - 3'd1;
288
                                saved_addr_r[3:2] <= #1 saved_addr_r_cml_1[3:2] + 1'd1;
289
                        end
290
                        else if (biudata_valid_cml_1) begin                     // last fetch of line refill
291
                                state <= #1 `OR1200_ICFSM_IDLE;
292
                                saved_addr_r <= #1 start_addr;
293
                                hitmiss_eval <= #1 1'b0;
294
                                load <= #1 1'b0;
295
                        end
296
                end
297
                default:
298
                        state <= #1 `OR1200_ICFSM_IDLE;
299
        endcase end
300
end
301
 
302
 
303
always @ (posedge clk_i_cml_1) begin
304
ic_en_cml_1 <= ic_en;
305
tagcomp_miss_cml_1 <= tagcomp_miss;
306
biudata_valid_cml_1 <= biudata_valid;
307
biudata_error_cml_1 <= biudata_error;
308
saved_addr_r_cml_1 <= saved_addr_r;
309
state_cml_1 <= state;
310
cnt_cml_1 <= cnt;
311
hitmiss_eval_cml_1 <= hitmiss_eval;
312
load_cml_1 <= load;
313
cache_inhibit_cml_1 <= cache_inhibit;
314
end
315
endmodule
316
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.