OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm2/] [verilog/] [or1200_ic_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
48
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
49
//
50
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
51
// Added embedded memory QMEM.
52
//
53
// Revision 1.7  2002/10/17 20:04:40  lampret
54
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
55
//
56
// Revision 1.6  2002/03/29 15:16:55  lampret
57
// Some of the warnings fixed.
58
//
59
// Revision 1.5  2002/02/11 04:33:17  lampret
60
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
61
//
62
// Revision 1.4  2002/02/01 19:56:54  lampret
63
// Fixed combinational loops.
64
//
65
// Revision 1.3  2002/01/28 01:16:00  lampret
66
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
67
//
68
// Revision 1.2  2002/01/14 06:18:22  lampret
69
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
70
//
71
// Revision 1.1  2002/01/03 08:16:15  lampret
72
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
73
//
74
// Revision 1.10  2001/10/21 17:57:16  lampret
75
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
76
//
77
// Revision 1.9  2001/10/14 13:12:09  lampret
78
// MP3 version.
79
//
80
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
81
// no message
82
//
83
// Revision 1.4  2001/08/13 03:36:20  lampret
84
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
85
//
86
// Revision 1.3  2001/08/09 13:39:33  lampret
87
// Major clean-up.
88
//
89
// Revision 1.2  2001/07/22 03:31:53  lampret
90
// Fixed RAM's oen bug. Cache bypass under development.
91
//
92
// Revision 1.1  2001/07/20 00:46:03  lampret
93
// Development version of RTL. Libraries are missing.
94
//
95
//
96
 
97
// synopsys translate_off
98
`include "timescale.v"
99
// synopsys translate_on
100
`include "or1200_defines.v"
101
 
102
//
103
// Data cache
104
//
105
module or1200_ic_top_cm2(
106
                clk_i_cml_1,
107
                cmls,
108
 
109
        // Rst, clk and clock control
110
        clk, rst,
111
 
112
        // External i/f
113
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
114
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
115
 
116
        // Internal i/f
117
        ic_en,
118
        icqmem_adr_i, icqmem_cycstb_i, icqmem_ci_i,
119
        icqmem_sel_i, icqmem_tag_i,
120
        icqmem_dat_o, icqmem_ack_o, icqmem_rty_o, icqmem_err_o, icqmem_tag_o,
121
 
122
`ifdef OR1200_BIST
123
        // RAM BIST
124
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
125
`endif
126
 
127
        // SPRs
128
        spr_cs, spr_write, spr_dat_i
129
);
130
 
131
 
132
input clk_i_cml_1;
133
input cmls;
134
reg  ic_en_cml_1;
135
reg  icqmem_err_o_cml_1;
136
reg  spr_write_cml_1;
137
reg [ 31 : 0 ] spr_dat_i_cml_1;
138
reg [ 32 - 1 : 0 ] from_icram_cml_1;
139
reg [ 31 : 0 ] saved_addr_cml_1;
140
reg  icfsm_first_miss_ack_cml_1;
141
 
142
 
143
 
144
parameter dw = `OR1200_OPERAND_WIDTH;
145
 
146
//
147
// I/O
148
//
149
 
150
//
151
// Clock and reset
152
//
153
input                           clk;
154
input                           rst;
155
 
156
//
157
// External I/F
158
//
159
output  [dw-1:0]         icbiu_dat_o;
160
output  [31:0]                   icbiu_adr_o;
161
output                          icbiu_cyc_o;
162
output                          icbiu_stb_o;
163
output                          icbiu_we_o;
164
output  [3:0]                    icbiu_sel_o;
165
output                          icbiu_cab_o;
166
input   [dw-1:0]         icbiu_dat_i;
167
input                           icbiu_ack_i;
168
input                           icbiu_err_i;
169
 
170
//
171
// Internal I/F
172
//
173
input                           ic_en;
174
input   [31:0]                   icqmem_adr_i;
175
input                           icqmem_cycstb_i;
176
input                           icqmem_ci_i;
177
input   [3:0]                    icqmem_sel_i;
178
input   [3:0]                    icqmem_tag_i;
179
output  [dw-1:0]         icqmem_dat_o;
180
output                          icqmem_ack_o;
181
output                          icqmem_rty_o;
182
output                          icqmem_err_o;
183
output  [3:0]                    icqmem_tag_o;
184
 
185
`ifdef OR1200_BIST
186
//
187
// RAM BIST
188
//
189
input mbist_si_i;
190
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
191
output mbist_so_o;
192
`endif
193
 
194
//
195
// SPR access
196
//
197
input                           spr_cs;
198
input                           spr_write;
199
input   [31:0]                   spr_dat_i;
200
 
201
//
202
// Internal wires and regs
203
//
204
wire                            tag_v;
205
wire    [`OR1200_ICTAG_W-2:0]    tag;
206
wire    [dw-1:0]         to_icram;
207
wire    [dw-1:0]         from_icram;
208
wire    [31:0]                   saved_addr;
209
wire    [3:0]                    icram_we;
210
wire                            ictag_we;
211
wire    [31:0]                   ic_addr;
212
wire                            icfsm_biu_read;
213
reg                             tagcomp_miss;
214
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
215
wire                            ictag_en;
216
wire                            ictag_v;
217
wire                            ic_inv;
218
wire                            icfsm_first_hit_ack;
219
wire                            icfsm_first_miss_ack;
220
wire                            icfsm_first_miss_err;
221
wire                            icfsm_burst;
222
wire                            icfsm_tag_we;
223
`ifdef OR1200_BIST
224
//
225
// RAM BIST
226
//
227
wire                            mbist_ram_so;
228
wire                            mbist_tag_so;
229
wire                            mbist_ram_si = mbist_si_i;
230
wire                            mbist_tag_si = mbist_ram_so;
231
assign                          mbist_so_o = mbist_tag_so;
232
`endif
233
 
234
//
235
// Simple assignments
236
//
237
assign icbiu_adr_o = ic_addr;
238
 
239
// SynEDA CoreMultiplier
240
// assignment(s): ic_inv
241
// replace(s): spr_write
242
assign ic_inv = spr_cs & spr_write_cml_1;
243
assign ictag_we = icfsm_tag_we | ic_inv;
244
 
245
// SynEDA CoreMultiplier
246
// assignment(s): ictag_addr
247
// replace(s): spr_dat_i
248
assign ictag_addr = ic_inv ? spr_dat_i_cml_1[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
249
 
250
// SynEDA CoreMultiplier
251
// assignment(s): ictag_en
252
// replace(s): ic_en
253
assign ictag_en = ic_inv | ic_en_cml_1;
254
assign ictag_v = ~ic_inv;
255
 
256
//
257
// Data to BIU is from ICRAM when IC is enabled or from LSU when
258
// IC is disabled
259
//
260
assign icbiu_dat_o = 32'h00000000;
261
 
262
//
263
// Bypases of the IC when IC is disabled
264
//
265
 
266
// SynEDA CoreMultiplier
267
// assignment(s): icbiu_cyc_o
268
// replace(s): ic_en
269
assign icbiu_cyc_o = (ic_en_cml_1) ? icfsm_biu_read : icqmem_cycstb_i;
270
 
271
// SynEDA CoreMultiplier
272
// assignment(s): icbiu_stb_o
273
// replace(s): ic_en
274
assign icbiu_stb_o = (ic_en_cml_1) ? icfsm_biu_read : icqmem_cycstb_i;
275
assign icbiu_we_o = 1'b0;
276
 
277
// SynEDA CoreMultiplier
278
// assignment(s): icbiu_sel_o
279
// replace(s): ic_en
280
assign icbiu_sel_o = (ic_en_cml_1 & icfsm_biu_read) ? 4'b1111 : icqmem_sel_i;
281
 
282
// SynEDA CoreMultiplier
283
// assignment(s): icbiu_cab_o
284
// replace(s): ic_en
285
assign icbiu_cab_o = (ic_en_cml_1) ? icfsm_burst : 1'b0;
286
assign icqmem_rty_o = ~icqmem_ack_o & ~icqmem_err_o;
287
 
288
// SynEDA CoreMultiplier
289
// assignment(s): icqmem_tag_o
290
// replace(s): icqmem_err_o
291
assign icqmem_tag_o = icqmem_err_o_cml_1 ? `OR1200_ITAG_BE : icqmem_tag_i;
292
 
293
//
294
// CPU normal and error termination
295
//
296
assign icqmem_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
297
assign icqmem_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
298
 
299
//
300
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
301
//
302
 
303
// SynEDA CoreMultiplier
304
// assignment(s): ic_addr
305
// replace(s): saved_addr
306
assign ic_addr = (icfsm_biu_read) ? saved_addr_cml_1 : icqmem_adr_i;
307
 
308
//
309
// Select between input data generated by LSU or by BIU
310
//
311
assign to_icram = icbiu_dat_i;
312
 
313
//
314
// Select between data generated by ICRAM or passed by BIU
315
//
316
 
317
// SynEDA CoreMultiplier
318
// assignment(s): icqmem_dat_o
319
// replace(s): ic_en, from_icram, icfsm_first_miss_ack
320
assign icqmem_dat_o = icfsm_first_miss_ack_cml_1 | !ic_en_cml_1 ? icbiu_dat_i : from_icram_cml_1;
321
 
322
//
323
// Tag comparison
324
//
325
wire    tag_comp_3;
326
wire    tag_comp_2;
327
wire    tag_comp_1;
328
wire    tag_comp_0;
329
 
330
assign tag_comp_3 = (tag[`OR1200_ICTAG_W-2:15] != saved_addr[31:`OR1200_ICTAGL + 15]);
331
assign tag_comp_2 = (tag[14:10] != saved_addr[`OR1200_ICTAGL + 14:`OR1200_ICTAGL + 10]);
332
assign tag_comp_1 = (tag[9:5] != saved_addr[`OR1200_ICTAGL + 9:`OR1200_ICTAGL + 5]);
333
assign tag_comp_0 = (tag[4:0] != saved_addr[`OR1200_ICTAGL + 4: `OR1200_ICTAGL]);
334
 
335
always @(tag or saved_addr or tag_v) begin
336
        //if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
337
        if ((tag_comp_3 | tag_comp_2 | tag_comp_1 | tag_comp_0) || !tag_v)
338
                tagcomp_miss = 1'b1;
339
        else
340
                tagcomp_miss = 1'b0;
341
end
342
 
343
//
344
// Instantiation of IC Finite State Machine
345
//
346
or1200_ic_fsm_cm2 or1200_ic_fsm(
347
                .clk_i_cml_1(clk_i_cml_1),
348
        .clk(clk),
349
        .rst(rst),
350
        .ic_en(ic_en),
351
        .icqmem_cycstb_i(icqmem_cycstb_i),
352
        .icqmem_ci_i(icqmem_ci_i),
353
        .tagcomp_miss(tagcomp_miss),
354
        .biudata_valid(icbiu_ack_i),
355
        .biudata_error(icbiu_err_i),
356
        .start_addr(icqmem_adr_i),
357
        .saved_addr(saved_addr),
358
        .icram_we(icram_we),
359
        .biu_read(icfsm_biu_read),
360
        .first_hit_ack(icfsm_first_hit_ack),
361
        .first_miss_ack(icfsm_first_miss_ack),
362
        .first_miss_err(icfsm_first_miss_err),
363
        .burst(icfsm_burst),
364
        .tag_we(icfsm_tag_we)
365
);
366
 
367
//
368
// Instantiation of IC main memory
369
//
370
wire [`OR1200_ICINDXH:2] addr_ic_ram;
371
assign addr_ic_ram = ic_addr[`OR1200_ICINDXH:2];
372
or1200_ic_ram_cm2 or1200_ic_ram(
373
                .clk_i_cml_1(clk_i_cml_1),
374
                .cmls(cmls),
375
        .clk(clk),
376
        .rst(rst),
377
`ifdef OR1200_BIST
378
        // RAM BIST
379
        .mbist_si_i(mbist_ram_si),
380
        .mbist_so_o(mbist_ram_so),
381
        .mbist_ctrl_i(mbist_ctrl_i),
382
`endif
383
        .addr(addr_ic_ram),
384
        .en(ic_en),
385
        .we(icram_we),
386
        .datain(to_icram),
387
        .dataout(from_icram)
388
);
389
 
390
//
391
// Instantiation of IC TAG memory
392
//
393
wire [31:`OR1200_ICTAGL - 1] ic_tag_datain;
394
assign ic_tag_datain = {ic_addr[31:`OR1200_ICTAGL], ictag_v};
395
or1200_ic_tag_cm2 or1200_ic_tag(
396
                .clk_i_cml_1(clk_i_cml_1),
397
                .cmls(cmls),
398
        .clk(clk),
399
        .rst(rst),
400
`ifdef OR1200_BIST
401
        // RAM BIST
402
        .mbist_si_i(mbist_tag_si),
403
        .mbist_so_o(mbist_tag_so),
404
        .mbist_ctrl_i(mbist_ctrl_i),
405
`endif
406
        .addr(ictag_addr),
407
        .en(ictag_en),
408
        .we(ictag_we),
409
        .datain(ic_tag_datain),
410
        .tag_v(tag_v),
411
        .tag(tag)
412
);
413
 
414
 
415
always @ (posedge clk_i_cml_1) begin
416
ic_en_cml_1 <= ic_en;
417
icqmem_err_o_cml_1 <= icqmem_err_o;
418
spr_write_cml_1 <= spr_write;
419
spr_dat_i_cml_1 <= spr_dat_i;
420
from_icram_cml_1 <= from_icram;
421
saved_addr_cml_1 <= saved_addr;
422
icfsm_first_miss_ack_cml_1 <= icfsm_first_miss_ack;
423
end
424
endmodule
425
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.