OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm2/] [verilog/] [or1200_rf.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file inside CPU                           ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of register file memories                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.2  2002/06/08 16:19:09  lampret
48
// Added generic flip-flop based memory macro instantiation.
49
//
50
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53
// Revision 1.13  2001/11/20 18:46:15  simons
54
// Break point bug fixed
55
//
56
// Revision 1.12  2001/11/13 10:02:21  lampret
57
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
58
//
59
// Revision 1.11  2001/11/12 01:45:40  lampret
60
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
61
//
62
// Revision 1.10  2001/11/10 03:43:57  lampret
63
// Fixed exceptions.
64
//
65
// Revision 1.9  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.8  2001/10/14 13:12:10  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
72
// no message
73
//
74
// Revision 1.3  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
// Revision 1.2  2001/07/22 03:31:54  lampret
78
// Fixed RAM's oen bug. Cache bypass under development.
79
//
80
// Revision 1.1  2001/07/20 00:46:21  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
module or1200_rf_cm2(
91
                clk_i_cml_1,
92
                cmls,
93
 
94
        // Clock and reset
95
        clk, rst,
96
 
97
        // Write i/f
98
        supv, wb_freeze, addrw, dataw, we, flushpipe,
99
 
100
        // Read i/f
101
        id_freeze, addra, addrb, dataa, datab, rda, rdb,
102
 
103
        // Debug
104
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
105
);
106
 
107
 
108
input clk_i_cml_1;
109
input cmls;
110
reg [ 5 - 1 : 0 ] addrw_cml_1;
111
reg  spr_cs_cml_1;
112
reg  spr_write_cml_1;
113
reg [ 31 : 0 ] spr_addr_cml_1;
114
reg [ 31 : 0 ] spr_dat_i_cml_1;
115
reg [ 32 : 0 ] dataa_saved_cml_1;
116
reg [ 32 : 0 ] datab_saved_cml_1;
117
reg  rf_we_allow_cml_1;
118
reg [ 32 - 1 : 0 ] from_rfa_int_cml_1;
119
reg [ 32 - 1 : 0 ] from_rfb_int_cml_1;
120
reg [ 4 : 0 ] rf_addra_reg_cml_1;
121
reg [ 4 : 0 ] rf_addrb_reg_cml_1;
122
 
123
 
124
 
125
parameter dw = `OR1200_OPERAND_WIDTH;
126
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
127
 
128
//
129
// I/O
130
//
131
 
132
//
133
// Clock and reset
134
//
135
input                           clk;
136
input                           rst;
137
 
138
//
139
// Write i/f
140
//
141
input                           supv;
142
input                           wb_freeze;
143
input   [aw-1:0]         addrw;
144
input   [dw-1:0]         dataw;
145
input                           we;
146
input                           flushpipe;
147
 
148
//
149
// Read i/f
150
//
151
input                           id_freeze;
152
input   [aw-1:0]         addra;
153
input   [aw-1:0]         addrb;
154
output  [dw-1:0]         dataa;
155
output  [dw-1:0]         datab;
156
input                           rda;
157
input                           rdb;
158
 
159
//
160
// SPR access for debugging purposes
161
//
162
input                           spr_cs;
163
input                           spr_write;
164
input   [31:0]                   spr_addr;
165
input   [31:0]                   spr_dat_i;
166
output  [31:0]                   spr_dat_o;
167
 
168
//
169
// Internal wires and regs
170
//
171
wire    [dw-1:0]         from_rfa;
172
wire    [dw-1:0]         from_rfb;
173
reg     [dw:0]                   dataa_saved;
174
reg     [dw:0]                   datab_saved;
175
wire    [aw-1:0]         rf_addra;
176
wire    [aw-1:0]         rf_addrw;
177
wire    [dw-1:0]         rf_dataw;
178
wire                            rf_we;
179
wire                            spr_valid;
180
wire                            rf_ena;
181
wire                            rf_enb;
182
reg                             rf_we_allow;
183
 
184
//
185
// SPR access is valid when spr_cs is asserted and
186
// SPR address matches GPR addresses
187
//
188
 
189
// SynEDA CoreMultiplier
190
// assignment(s): spr_valid
191
// replace(s): spr_cs, spr_addr
192
assign spr_valid = spr_cs_cml_1 & (spr_addr_cml_1[10:5] == `OR1200_SPR_RF);
193
 
194
//
195
// SPR data output is always from RF A
196
//
197
assign spr_dat_o = from_rfa;
198
 
199
//
200
// Operand A comes from RF or from saved A register
201
//
202
 
203
// SynEDA CoreMultiplier
204
// assignment(s): dataa
205
// replace(s): dataa_saved
206
assign dataa = (dataa_saved_cml_1[32]) ? dataa_saved_cml_1[31:0] : from_rfa;
207
 
208
//
209
// Operand B comes from RF or from saved B register
210
//
211
 
212
// SynEDA CoreMultiplier
213
// assignment(s): datab
214
// replace(s): datab_saved
215
assign datab = (datab_saved_cml_1[32]) ? datab_saved_cml_1[31:0] : from_rfb;
216
 
217
//
218
// RF A read address is either from SPRS or normal from CPU control
219
//
220
 
221
// SynEDA CoreMultiplier
222
// assignment(s): rf_addra
223
// replace(s): spr_write, spr_addr
224
assign rf_addra = (spr_valid & !spr_write_cml_1) ? spr_addr_cml_1[4:0] : addra;
225
 
226
//
227
// RF write address is either from SPRS or normal from CPU control
228
//
229
 
230
// SynEDA CoreMultiplier
231
// assignment(s): rf_addrw
232
// replace(s): addrw, spr_write, spr_addr
233
assign rf_addrw = (spr_valid & spr_write_cml_1) ? spr_addr_cml_1[4:0] : addrw_cml_1;
234
 
235
//
236
// RF write data is either from SPRS or normal from CPU datapath
237
//
238
 
239
// SynEDA CoreMultiplier
240
// assignment(s): rf_dataw
241
// replace(s): spr_write, spr_dat_i
242
assign rf_dataw = (spr_valid & spr_write_cml_1) ? spr_dat_i_cml_1 : dataw;
243
 
244
//
245
// RF write enable is either from SPRS or normal from CPU control
246
//
247
 
248
// SynEDA CoreMultiplier
249
// assignment(s): rf_we_allow
250
// replace(s): rf_we_allow
251
always @(posedge rst or posedge clk)
252
        if (rst)
253
                rf_we_allow <= #1 1'b1;
254
        else begin  rf_we_allow <= rf_we_allow_cml_1; if (~wb_freeze)
255
                rf_we_allow <= #1 ~flushpipe; end
256
 
257
 
258
// SynEDA CoreMultiplier
259
// assignment(s): rf_we
260
// replace(s): spr_write, rf_we_allow
261
assign rf_we = ((spr_valid & spr_write_cml_1) | (we & ~wb_freeze)) & rf_we_allow_cml_1 & (supv | (|rf_addrw));
262
 
263
//
264
// CS RF A asserted when instruction reads operand A and ID stage
265
// is not stalled
266
//
267
assign rf_ena = rda & ~id_freeze | spr_valid;   // probably works with fixed binutils
268
// assign rf_ena = 1'b1;                        // does not work with single-stepping
269
//assign rf_ena = ~id_freeze | spr_valid;       // works with broken binutils 
270
 
271
//
272
// CS RF B asserted when instruction reads operand B and ID stage
273
// is not stalled
274
//
275
assign rf_enb = rdb & ~id_freeze | spr_valid;
276
// assign rf_enb = 1'b1;
277
//assign rf_enb = ~id_freeze | spr_valid;       // works with broken binutils 
278
 
279
//
280
// Stores operand from RF_A into temp reg when pipeline is frozen
281
//
282
 
283
// SynEDA CoreMultiplier
284
// assignment(s): dataa_saved
285
// replace(s): dataa_saved
286
always @(posedge clk or posedge rst)
287
        if (rst) begin
288
                dataa_saved <= #1 33'b0;
289
        end
290
        else begin  dataa_saved <= dataa_saved_cml_1; if (id_freeze & !dataa_saved_cml_1[32]) begin
291
                dataa_saved <= #1 {1'b1, from_rfa};
292
        end
293
        else if (!id_freeze)
294
                dataa_saved <= #1 33'b0; end
295
 
296
//
297
// Stores operand from RF_B into temp reg when pipeline is frozen
298
//
299
 
300
// SynEDA CoreMultiplier
301
// assignment(s): datab_saved
302
// replace(s): datab_saved
303
always @(posedge clk or posedge rst)
304
        if (rst) begin
305
                datab_saved <= #1 33'b0;
306
        end
307
        else begin  datab_saved <= datab_saved_cml_1; if (id_freeze & !datab_saved_cml_1[32]) begin
308
                datab_saved <= #1 {1'b1, from_rfb};
309
        end
310
        else if (!id_freeze)
311
                datab_saved <= #1 33'b0; end
312
 
313
`ifdef OR1200_RFRAM_TWOPORT
314
 
315
//
316
// Instantiation of register file two-port RAM A
317
//
318
or1200_tpram_32x32 rf_a(
319
        // Port A
320
        .clk_a(clk),
321
        .rst_a(rst),
322
        .ce_a(rf_ena),
323
        .we_a(1'b0),
324
        .oe_a(1'b1),
325
        .addr_a(rf_addra),
326
        .di_a(32'h0000_0000),
327
        .do_a(from_rfa),
328
 
329
        // Port B
330
        .clk_b(clk),
331
        .rst_b(rst),
332
        .ce_b(rf_we),
333
        .we_b(rf_we),
334
        .oe_b(1'b0),
335
        .addr_b(rf_addrw),
336
        .di_b(rf_dataw),
337
        .do_b()
338
);
339
 
340
//
341
// Instantiation of register file two-port RAM B
342
//
343
or1200_tpram_32x32 rf_b(
344
        // Port A
345
        .clk_a(clk),
346
        .rst_a(rst),
347
        .ce_a(rf_enb),
348
        .we_a(1'b0),
349
        .oe_a(1'b1),
350
        .addr_a(addrb),
351
        .di_a(32'h0000_0000),
352
        .do_a(from_rfb),
353
 
354
        // Port B
355
        .clk_b(clk),
356
        .rst_b(rst),
357
        .ce_b(rf_we),
358
        .we_b(rf_we),
359
        .oe_b(1'b0),
360
        .addr_b(rf_addrw),
361
        .di_b(rf_dataw),
362
        .do_b()
363
);
364
 
365
`else
366
 
367
`ifdef OR1200_RFRAM_DUALPORT
368
 
369
//
370
// Instantiation of register file two-port RAM A
371
//
372
or1200_dpram_32x32 rf_a(
373
        // Port A
374
        .clk_a(clk),
375
        .rst_a(rst),
376
        .ce_a(rf_ena),
377
        .oe_a(1'b1),
378
        .addr_a(rf_addra),
379
        .do_a(from_rfa),
380
 
381
        // Port B
382
        .clk_b(clk),
383
        .rst_b(rst),
384
        .ce_b(rf_we),
385
        .we_b(rf_we),
386
        .addr_b(rf_addrw),
387
        .di_b(rf_dataw)
388
);
389
 
390
//
391
// Instantiation of register file two-port RAM B
392
//
393
or1200_dpram_32x32 rf_b(
394
        // Port A
395
        .clk_a(clk),
396
        .rst_a(rst),
397
        .ce_a(rf_enb),
398
        .oe_a(1'b1),
399
        .addr_a(addrb),
400
        .do_a(from_rfb),
401
 
402
        // Port B
403
        .clk_b(clk),
404
        .rst_b(rst),
405
        .ce_b(rf_we),
406
        .we_b(rf_we),
407
        .addr_b(rf_addrw),
408
        .di_b(rf_dataw)
409
);
410
 
411
`else
412
 
413
`ifdef OR1200_RFRAM_GENERIC
414
 
415
//
416
// Instantiation of generic (flip-flop based) register file
417
//
418
or1200_rfram_generic rf_a(
419
        // Clock and reset
420
        .clk(clk),
421
        .rst(rst),
422
 
423
        // Port A
424
        .ce_a(rf_ena),
425
        .addr_a(rf_addra),
426
        .do_a(from_rfa),
427
 
428
        // Port B
429
        .ce_b(rf_enb),
430
        .addr_b(addrb),
431
        .do_b(from_rfb),
432
 
433
        // Port W
434
        .ce_w(rf_we),
435
        .we_w(rf_we),
436
        .addr_w(rf_addrw),
437
        .di_w(rf_dataw)
438
);
439
 
440
`else
441
 
442
 
443
`ifdef OR1200_RAM_MODELS_VIRTEX
444
 
445
//
446
//      Non-generic FPGA model instantiations
447
//
448
 
449
//      write port: no add-reg
450
//      read port: add-reg
451
 
452
//      write port
453
//      a -> rf_addrw
454
//      d -> rf_dataw
455
//      we -> rf_we
456
//      spo -> open
457
 
458
//      read port
459
//      dpra -> rf_addra_reg registered
460
//      dpo -> from_rfa_int
461
 
462
wire    [dw-1:0]         from_rfa_int;
463
wire    [dw-1:0]         from_rfb_int;
464
 
465
reg     [4:0]    rf_addra_reg;           // RAM address a registered
466
reg     [4:0]    rf_addrb_reg;           // RAM address b registered
467
 
468
 
469
// SynEDA CoreMultiplier
470
// assignment(s): rf_addra_reg
471
// replace(s): rf_addra_reg
472
always @(posedge clk or posedge rst)
473
        if (rst)
474
                rf_addra_reg <= #1 {32{1'b0}};
475
        else begin  rf_addra_reg <= rf_addra_reg_cml_1; if (rf_ena)
476
                rf_addra_reg <= #1 rf_addra; end
477
 
478
 
479
 
480
// SynEDA CoreMultiplier
481
// assignment(s): rf_addrb_reg
482
// replace(s): rf_addrb_reg
483
always @(posedge clk or posedge rst)
484
        if (rst)
485
                rf_addrb_reg <= #1 {32{1'b0}};
486
        else begin  rf_addrb_reg <= rf_addrb_reg_cml_1; if (rf_enb)
487
                rf_addrb_reg <= #1 addrb; end
488
 
489
rf_sub_cm2 rf_sub_ia(
490
                .cmls(cmls),
491
        .a(rf_addrw),
492
        .d(rf_dataw),
493
        .dpra(rf_addra_reg),
494
        .clk(clk),
495
        .we(rf_we),
496
        .spo(),
497
        .dpo(from_rfa_int));
498
 
499
rf_sub_cm2 rf_sub_ib(
500
                .cmls(cmls),
501
        .a(rf_addrw),
502
        .d(rf_dataw),
503
        .dpra(rf_addrb_reg),
504
        .clk(clk),
505
        .we(rf_we),
506
        .spo(),
507
        .dpo(from_rfb_int));
508
 
509
 
510
// SynEDA CoreMultiplier
511
// assignment(s): from_rfa
512
// replace(s): from_rfa_int, rf_addra_reg
513
assign from_rfa = (rf_addra_reg_cml_1 == 5'h00) ? 32'h00000000 : from_rfa_int_cml_1;
514
 
515
// SynEDA CoreMultiplier
516
// assignment(s): from_rfb
517
// replace(s): from_rfb_int, rf_addrb_reg
518
assign from_rfb = (rf_addrb_reg_cml_1 == 5'h00) ? 32'h00000000 : from_rfb_int_cml_1;
519
 
520
`else
521
 
522
//
523
// RFRAM type not specified
524
//
525
initial begin
526
        $display("Define RFRAM type.");
527
        $finish;
528
end
529
 
530
`endif
531
`endif
532
`endif
533
`endif
534
 
535
 
536
always @ (posedge clk_i_cml_1) begin
537
addrw_cml_1 <= addrw;
538
spr_cs_cml_1 <= spr_cs;
539
spr_write_cml_1 <= spr_write;
540
spr_addr_cml_1 <= spr_addr;
541
spr_dat_i_cml_1 <= spr_dat_i;
542
dataa_saved_cml_1 <= dataa_saved;
543
datab_saved_cml_1 <= datab_saved;
544
rf_we_allow_cml_1 <= rf_we_allow;
545
from_rfa_int_cml_1 <= from_rfa_int;
546
from_rfb_int_cml_1 <= from_rfb_int;
547
rf_addra_reg_cml_1 <= rf_addra_reg;
548
rf_addrb_reg_cml_1 <= rf_addrb_reg;
549
end
550
endmodule
551
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.