OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_dc_tag.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's DC TAG RAMs                                        ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instatiation of data cache tag rams.                        ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.4  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.2.4.1  2003/12/09 11:46:48  simons
51
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
52
//
53
// Revision 1.2  2002/10/17 20:04:40  lampret
54
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
55
//
56
// Revision 1.1  2002/01/03 08:16:15  lampret
57
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
58
//
59
// Revision 1.8  2001/10/21 17:57:16  lampret
60
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
61
//
62
// Revision 1.7  2001/10/14 13:12:09  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
66
// no message
67
//
68
// Revision 1.2  2001/08/09 13:39:33  lampret
69
// Major clean-up.
70
//
71
// Revision 1.1  2001/07/20 00:46:03  lampret
72
// Development version of RTL. Libraries are missing.
73
//
74
//
75
 
76
// synopsys translate_off
77
`include "timescale.v"
78
// synopsys translate_on
79
`include "or1200_defines.v"
80
 
81
module or1200_dc_tag_cm3(
82
                clk_i_cml_1,
83
                clk_i_cml_2,
84
                cmls,
85
 
86
        // Clock and reset
87
        clk, rst,
88
 
89
`ifdef OR1200_BIST
90
        // RAM BIST
91
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
92
`endif
93
 
94
        // Internal i/f
95
        addr, en, we, datain, tag_v, tag
96
);
97
 
98
 
99
input clk_i_cml_1;
100
input clk_i_cml_2;
101
input [1:0] cmls;
102
 
103
 
104
 
105
parameter dw = `OR1200_DCTAG_W;
106
parameter aw = `OR1200_DCTAG;
107
 
108
//
109
// I/O
110
//
111
input                           clk;
112
input                           rst;
113
input   [aw-1:0]         addr;
114
input                           en;
115
input                           we;
116
input   [dw-1:0]         datain;
117
output                          tag_v;
118
output  [dw-2:0]         tag;
119
 
120
`ifdef OR1200_BIST
121
//
122
// RAM BIST
123
//
124
input mbist_si_i;
125
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
126
output mbist_so_o;
127
`endif
128
 
129
`ifdef OR1200_NO_DC
130
 
131
//
132
// Data cache not implemented
133
//
134
assign tag = {dw-1{1'b0}};
135
assign tag_v = 1'b0;
136
`ifdef OR1200_BIST
137
assign mbist_so_o = mbist_si_i;
138
`endif
139
 
140
`else
141
 
142
`ifdef OR1200_RAM_MODELS_VIRTEX
143
 
144
//
145
//      Non-generic FPGA model instantiations
146
//
147
 
148
wire [19:0] doutb;
149
assign tag = doutb[19:1];
150
assign tag_v = doutb[0];
151
 
152
dc_tag_sub_cm3 dc_tag0 (
153
                .clk_i_cml_1(clk_i_cml_1),
154
                .clk_i_cml_2(clk_i_cml_2),
155
                .cmls(cmls),
156
        .clka(clk),
157
        .ena(en),
158
        .wea(we), // Bus [0 : 0] 
159
        .addra(addr), // Bus [7 : 0] 
160
        .dina(datain), // Bus [19 : 0] 
161
        .clkb(clk),
162
        .addrb(addr), // Bus [7 : 0] 
163
        .doutb(doutb)); // Bus [19 : 0] 
164
 
165
`else
166
 
167
//
168
// Instantiation of TAG RAM block
169
//
170
`ifdef OR1200_DC_1W_4KB
171
or1200_spram_256x21 dc_tag0(
172
`endif
173
`ifdef OR1200_DC_1W_8KB
174
or1200_spram_512x20 dc_tag0(
175
`endif
176
`ifdef OR1200_BIST
177
        // RAM BIST
178
        .mbist_si_i(mbist_si_i),
179
        .mbist_so_o(mbist_so_o),
180
        .mbist_ctrl_i(mbist_ctrl_i),
181
`endif
182
        .clk(clk),
183
        .rst(rst),
184
        .ce(en),
185
        .we(we),
186
        .oe(1'b1),
187
        .addr(addr),
188
        .di(datain),
189
        .doq({tag, tag_v})
190
);
191
 
192
`endif
193
`endif
194
 
195
endmodule
196
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.