OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_freeze.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.6.4.2  2003/12/05 00:09:49  lampret
51
// No functional change.
52
//
53
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
54
// Added embedded memory QMEM.
55
//
56
// Revision 1.6  2002/07/31 02:04:35  lampret
57
// MAC now follows software convention (signed multiply instead of unsigned).
58
//
59
// Revision 1.5  2002/07/14 22:17:17  lampret
60
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
61
//
62
// Revision 1.4  2002/03/29 15:16:55  lampret
63
// Some of the warnings fixed.
64
//
65
// Revision 1.3  2002/01/28 01:16:00  lampret
66
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
67
//
68
// Revision 1.2  2002/01/14 06:18:22  lampret
69
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
70
//
71
// Revision 1.1  2002/01/03 08:16:15  lampret
72
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
73
//
74
// Revision 1.10  2001/11/13 10:02:21  lampret
75
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
76
//
77
// Revision 1.9  2001/10/21 17:57:16  lampret
78
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
79
//
80
// Revision 1.8  2001/10/19 23:28:46  lampret
81
// Fixed some synthesis warnings. Configured with caches and MMUs.
82
//
83
// Revision 1.7  2001/10/14 13:12:09  lampret
84
// MP3 version.
85
//
86
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
87
// no message
88
//
89
// Revision 1.2  2001/08/09 13:39:33  lampret
90
// Major clean-up.
91
//
92
// Revision 1.1  2001/07/20 00:46:03  lampret
93
// Development version of RTL. Libraries are missing.
94
//
95
//
96
 
97
// synopsys translate_off
98
`include "timescale.v"
99
// synopsys translate_on
100
`include "or1200_defines.v"
101
 
102
`define OR1200_NO_FREEZE        3'd0
103
`define OR1200_FREEZE_BYDC      3'd1
104
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
105
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
106
`define OR1200_WAIT_IC                  3'd4
107
 
108
//
109
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
110
//
111
module or1200_freeze_cm3(
112
                clk_i_cml_1,
113
                clk_i_cml_2,
114
 
115
        // Clock and reset
116
        clk, rst,
117
 
118
        // Internal i/f
119
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
120
        lsu_unstall, du_stall, mac_stall,
121
        force_dslot_fetch, abort_ex,
122
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
123
        icpu_ack_i, icpu_err_i
124
);
125
 
126
 
127
input clk_i_cml_1;
128
input clk_i_cml_2;
129
reg  extend_flush_cml_2;
130
reg  extend_flush_cml_1;
131
reg  lsu_stall_cml_2;
132
reg  if_stall_cml_2;
133
reg  lsu_unstall_cml_2;
134
reg  du_stall_cml_2;
135
reg  mac_stall_cml_2;
136
reg  mac_stall_cml_1;
137
reg  ex_freeze_cml_2;
138
reg  icpu_ack_i_cml_2;
139
reg  icpu_ack_i_cml_1;
140
reg  icpu_err_i_cml_2;
141
reg  multicycle_freeze_cml_2;
142
reg [ 2 - 1 : 0 ] multicycle_cnt_cml_2;
143
reg [ 2 - 1 : 0 ] multicycle_cnt_cml_1;
144
reg  flushpipe_r_cml_2;
145
reg  flushpipe_r_cml_1;
146
 
147
 
148
 
149
//
150
// I/O
151
//
152
input                           clk;
153
input                           rst;
154
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
155
input                           flushpipe;
156
input                           extend_flush;
157
input                           lsu_stall;
158
input                           if_stall;
159
input                           lsu_unstall;
160
input                           force_dslot_fetch;
161
input                           abort_ex;
162
input                           du_stall;
163
input                           mac_stall;
164
output                          genpc_freeze;
165
output                          if_freeze;
166
output                          id_freeze;
167
output                          ex_freeze;
168
output                          wb_freeze;
169
input                           icpu_ack_i;
170
input                           icpu_err_i;
171
 
172
//
173
// Internal wires and regs
174
//
175
wire                            multicycle_freeze;
176
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
177
reg                             flushpipe_r;
178
 
179
//
180
// Pipeline freeze
181
//
182
// Rules how to create freeze signals:
183
// 1. Not overwriting pipeline stages:
184
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
185
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
186
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
187
//
188
// 2. Inserting NOPs in the middle of pipeline only if supported:
189
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
190
// This way NOP is asserted from stage ID into EX stage.
191
//
192
//assign genpc_freeze = du_stall | flushpipe_r | lsu_stall;
193
 
194
// SynEDA CoreMultiplier
195
// assignment(s): genpc_freeze
196
// replace(s): du_stall, flushpipe_r
197
assign genpc_freeze = du_stall_cml_2 | flushpipe_r_cml_2;
198
 
199
// SynEDA CoreMultiplier
200
// assignment(s): if_freeze
201
// replace(s): extend_flush
202
assign if_freeze = id_freeze | extend_flush_cml_2;
203
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
204
 
205
// SynEDA CoreMultiplier
206
// assignment(s): id_freeze
207
// replace(s): lsu_stall, if_stall, lsu_unstall, du_stall, mac_stall, multicycle_freeze
208
assign id_freeze = (lsu_stall_cml_2 | (~lsu_unstall_cml_2 & if_stall_cml_2) | multicycle_freeze_cml_2 | force_dslot_fetch) | du_stall_cml_2 | mac_stall_cml_2;
209
assign ex_freeze = wb_freeze;
210
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
211
 
212
// SynEDA CoreMultiplier
213
// assignment(s): wb_freeze
214
// replace(s): mac_stall
215
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall_cml_1 | abort_ex;
216
 
217
//
218
// registered flushpipe
219
//
220
 
221
// SynEDA CoreMultiplier
222
// assignment(s): flushpipe_r
223
// replace(s): icpu_ack_i, icpu_err_i, flushpipe_r
224
always @(posedge clk or posedge rst)
225
        if (rst)
226
                flushpipe_r <= #1 1'b0;
227
        else begin  flushpipe_r <= flushpipe_r_cml_2; if (icpu_ack_i_cml_2 | icpu_err_i_cml_2)
228
//      else if (!if_stall)
229
                flushpipe_r <= #1 flushpipe;
230
        else if (!flushpipe)
231
                flushpipe_r <= #1 1'b0; end
232
 
233
//
234
// Multicycle freeze
235
//
236
 
237
// SynEDA CoreMultiplier
238
// assignment(s): multicycle_freeze
239
// replace(s): multicycle_cnt
240
assign multicycle_freeze = |multicycle_cnt_cml_1;
241
 
242
//
243
// Multicycle counter
244
//
245
 
246
// SynEDA CoreMultiplier
247
// assignment(s): multicycle_cnt
248
// replace(s): ex_freeze, multicycle_cnt
249
always @(posedge clk or posedge rst)
250
        if (rst)
251
                multicycle_cnt <= #1 2'b00;
252
        else begin  multicycle_cnt <= multicycle_cnt_cml_2; if (|multicycle_cnt_cml_2)
253
                multicycle_cnt <= #1 multicycle_cnt_cml_2 - 2'd1;
254
        else if (|multicycle & !ex_freeze_cml_2)
255
                multicycle_cnt <= #1 multicycle; end
256
 
257
 
258
always @ (posedge clk_i_cml_1) begin
259
extend_flush_cml_1 <= extend_flush;
260
mac_stall_cml_1 <= mac_stall;
261
icpu_ack_i_cml_1 <= icpu_ack_i;
262
multicycle_cnt_cml_1 <= multicycle_cnt;
263
flushpipe_r_cml_1 <= flushpipe_r;
264
end
265
always @ (posedge clk_i_cml_2) begin
266
extend_flush_cml_2 <= extend_flush_cml_1;
267
lsu_stall_cml_2 <= lsu_stall;
268
if_stall_cml_2 <= if_stall;
269
lsu_unstall_cml_2 <= lsu_unstall;
270
du_stall_cml_2 <= du_stall;
271
mac_stall_cml_2 <= mac_stall_cml_1;
272
ex_freeze_cml_2 <= ex_freeze;
273
icpu_ack_i_cml_2 <= icpu_ack_i_cml_1;
274
icpu_err_i_cml_2 <= icpu_err_i;
275
multicycle_freeze_cml_2 <= multicycle_freeze;
276
multicycle_cnt_cml_2 <= multicycle_cnt_cml_1;
277
flushpipe_r_cml_2 <= flushpipe_r_cml_1;
278
end
279
endmodule
280
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.