OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_ic_fsm.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's IC FSM                                             ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Insn cache state machine                                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.9  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.8.4.1  2003/07/08 15:36:37  lampret
51
// Added embedded memory QMEM.
52
//
53
// Revision 1.8  2003/06/06 02:54:47  lampret
54
// When OR1200_NO_IMMU and OR1200_NO_IC are not both defined or undefined at the same time, results in a IC bug. Fixed.
55
//
56
// Revision 1.7  2002/03/29 15:16:55  lampret
57
// Some of the warnings fixed.
58
//
59
// Revision 1.6  2002/03/28 19:10:40  lampret
60
// Optimized cache controller FSM.
61
//
62
// Revision 1.1.1.1  2002/03/21 16:55:45  lampret
63
// First import of the "new" XESS XSV environment.
64
//
65
//
66
// Revision 1.5  2002/02/11 04:33:17  lampret
67
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
68
//
69
// Revision 1.4  2002/02/01 19:56:54  lampret
70
// Fixed combinational loops.
71
//
72
// Revision 1.3  2002/01/28 01:16:00  lampret
73
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
74
//
75
// Revision 1.2  2002/01/14 06:18:22  lampret
76
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
77
//
78
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81
// Revision 1.9  2001/10/21 17:57:16  lampret
82
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
83
//
84
// Revision 1.8  2001/10/19 23:28:46  lampret
85
// Fixed some synthesis warnings. Configured with caches and MMUs.
86
//
87
// Revision 1.7  2001/10/14 13:12:09  lampret
88
// MP3 version.
89
//
90
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
91
// no message
92
//
93
// Revision 1.2  2001/08/09 13:39:33  lampret
94
// Major clean-up.
95
//
96
// Revision 1.1  2001/07/20 00:46:03  lampret
97
// Development version of RTL. Libraries are missing.
98
//
99
//
100
 
101
// synopsys translate_off
102
`include "timescale.v"
103
// synopsys translate_on
104
`include "or1200_defines.v"
105
 
106
`define OR1200_ICFSM_IDLE       2'd0
107
`define OR1200_ICFSM_CFETCH     2'd1
108
`define OR1200_ICFSM_LREFILL3   2'd2
109
`define OR1200_ICFSM_IFETCH     2'd3
110
 
111
//
112
// Data cache FSM for cache line of 16 bytes (4x singleword)
113
//
114
 
115
module or1200_ic_fsm_cm3(
116
                clk_i_cml_1,
117
                clk_i_cml_2,
118
 
119
        // Clock and reset
120
        clk, rst,
121
 
122
        // Internal i/f to top level IC
123
        ic_en, icqmem_cycstb_i, icqmem_ci_i,
124
        tagcomp_miss, biudata_valid, biudata_error, start_addr, saved_addr,
125
        icram_we, biu_read, first_hit_ack, first_miss_ack, first_miss_err,
126
        burst, tag_we
127
);
128
 
129
 
130
input clk_i_cml_1;
131
input clk_i_cml_2;
132
reg  ic_en_cml_2;
133
reg  ic_en_cml_1;
134
reg  tagcomp_miss_cml_2;
135
reg  tagcomp_miss_cml_1;
136
reg  biudata_valid_cml_2;
137
reg  biudata_valid_cml_1;
138
reg  biudata_error_cml_2;
139
reg  biudata_error_cml_1;
140
reg [ 31 : 0 ] saved_addr_r_cml_2;
141
reg [ 31 : 0 ] saved_addr_r_cml_1;
142
reg [ 1 : 0 ] state_cml_2;
143
reg [ 1 : 0 ] state_cml_1;
144
reg [ 2 : 0 ] cnt_cml_2;
145
reg [ 2 : 0 ] cnt_cml_1;
146
reg  hitmiss_eval_cml_2;
147
reg  hitmiss_eval_cml_1;
148
reg  load_cml_2;
149
reg  load_cml_1;
150
reg  cache_inhibit_cml_2;
151
reg  cache_inhibit_cml_1;
152
 
153
 
154
 
155
//
156
// I/O
157
//
158
input                           clk;
159
input                           rst;
160
input                           ic_en;
161
input                           icqmem_cycstb_i;
162
input                           icqmem_ci_i;
163
input                           tagcomp_miss;
164
input                           biudata_valid;
165
input                           biudata_error;
166
input   [31:0]                   start_addr;
167
output  [31:0]                   saved_addr;
168
output  [3:0]                    icram_we;
169
output                          biu_read;
170
output                          first_hit_ack;
171
output                          first_miss_ack;
172
output                          first_miss_err;
173
output                          burst;
174
output                          tag_we;
175
 
176
//
177
// Internal wires and regs
178
//
179
reg     [31:0]                   saved_addr_r;
180
reg     [1:0]                    state;
181
reg     [2:0]                    cnt;
182
reg                             hitmiss_eval;
183
reg                             load;
184
reg                             cache_inhibit;
185
 
186
//
187
// Generate of ICRAM write enables
188
//
189
 
190
// SynEDA CoreMultiplier
191
// assignment(s): icram_we
192
// replace(s): biudata_valid, cache_inhibit
193
assign icram_we = {4{biu_read & biudata_valid_cml_2 & !cache_inhibit_cml_2}};
194
 
195
 
196
// SynEDA CoreMultiplier
197
// assignment(s): tag_we
198
// replace(s): biudata_valid, cache_inhibit
199
assign tag_we = biu_read & biudata_valid_cml_2 & !cache_inhibit_cml_2;
200
 
201
//
202
// BIU read and write
203
//
204
 
205
// SynEDA CoreMultiplier
206
// assignment(s): biu_read
207
// replace(s): tagcomp_miss, hitmiss_eval, load
208
assign biu_read = (hitmiss_eval_cml_2 & tagcomp_miss_cml_2) | (!hitmiss_eval_cml_2 & load_cml_2);
209
 
210
//assign saved_addr = hitmiss_eval ? start_addr : saved_addr_r;
211
assign saved_addr = saved_addr_r;
212
 
213
//
214
// Assert for cache hit first word ready
215
// Assert for cache miss first word stored/loaded OK
216
// Assert for cache miss first word stored/loaded with an error
217
//
218
assign first_hit_ack = (state == `OR1200_ICFSM_CFETCH) & hitmiss_eval & !tagcomp_miss & !cache_inhibit & !icqmem_ci_i;
219
assign first_miss_ack = (state == `OR1200_ICFSM_CFETCH) & biudata_valid;
220
assign first_miss_err = (state == `OR1200_ICFSM_CFETCH) & biudata_error;
221
 
222
//
223
// Assert burst when doing reload of complete cache line
224
//
225
 
226
// SynEDA CoreMultiplier
227
// assignment(s): burst
228
// replace(s): tagcomp_miss, state, cache_inhibit
229
assign burst = (state_cml_2 == `OR1200_ICFSM_CFETCH) & tagcomp_miss_cml_2 & !cache_inhibit_cml_2
230
                | (state_cml_2 == `OR1200_ICFSM_LREFILL3);
231
 
232
//
233
// Main IC FSM
234
//
235
 
236
// SynEDA CoreMultiplier
237
// assignment(s): saved_addr_r, state, cnt, hitmiss_eval, load, cache_inhibit
238
// replace(s): ic_en, tagcomp_miss, biudata_valid, biudata_error, saved_addr_r, state, cnt, hitmiss_eval, cache_inhibit, load
239
always @(posedge clk or posedge rst) begin
240
        if (rst) begin
241
                state <= #1 `OR1200_ICFSM_IDLE;
242
                saved_addr_r <= #1 32'b0;
243
                hitmiss_eval <= #1 1'b0;
244
                load <= #1 1'b0;
245
                cnt <= #1 3'b000;
246
                cache_inhibit <= #1 1'b0;
247
        end
248
        else begin  cache_inhibit <= cache_inhibit_cml_2; load <= load_cml_2; hitmiss_eval <= hitmiss_eval_cml_2; cnt <= cnt_cml_2; state <= state_cml_2; saved_addr_r <= saved_addr_r_cml_2;
249
        case (state_cml_2)      // synopsys parallel_case
250
                `OR1200_ICFSM_IDLE :
251
                        if (ic_en_cml_2 & icqmem_cycstb_i) begin                // fetch
252
                                state <= #1 `OR1200_ICFSM_CFETCH;
253
                                saved_addr_r <= #1 start_addr;
254
                                hitmiss_eval <= #1 1'b1;
255
                                load <= #1 1'b1;
256
                                cache_inhibit <= #1 1'b0;
257
                        end
258
                        else begin                                                      // idle
259
                                hitmiss_eval <= #1 1'b0;
260
                                load <= #1 1'b0;
261
                                cache_inhibit <= #1 1'b0;
262
                        end
263
                `OR1200_ICFSM_CFETCH: begin     // fetch
264
                        if (icqmem_cycstb_i & icqmem_ci_i)
265
                                cache_inhibit <= #1 1'b1;
266
                        if (hitmiss_eval_cml_2)
267
                                saved_addr_r[31:13] <= #1 start_addr[31:13];
268
                        if ((!ic_en_cml_2) ||
269
                            (hitmiss_eval_cml_2 & !icqmem_cycstb_i) ||  // fetch aborted (usually caused by IMMU)
270
                            (biudata_error_cml_2) ||                                            // fetch terminated with an error
271
                            (cache_inhibit_cml_2 & biudata_valid_cml_2)) begin  // fetch from cache-inhibited page
272
                                state <= #1 `OR1200_ICFSM_IDLE;
273
                                hitmiss_eval <= #1 1'b0;
274
                                load <= #1 1'b0;
275
                                cache_inhibit <= #1 1'b0;
276
                        end
277
                        else if (tagcomp_miss_cml_2 & biudata_valid_cml_2) begin        // fetch missed, finish current external fetch and refill
278
                                state <= #1 `OR1200_ICFSM_LREFILL3;
279
                                saved_addr_r[3:2] <= #1 saved_addr_r_cml_2[3:2] + 1'd1;
280
                                hitmiss_eval <= #1 1'b0;
281
                                cnt <= #1 `OR1200_ICLS-2;
282
                                cache_inhibit <= #1 1'b0;
283
                        end
284
                        else if (!tagcomp_miss_cml_2 & !icqmem_ci_i) begin      // fetch hit, finish immediately
285
                                saved_addr_r <= #1 start_addr;
286
                                cache_inhibit <= #1 1'b0;
287
                        end
288
                        else if (!icqmem_cycstb_i) begin        // fetch aborted (usually caused by exception)
289
                                state <= #1 `OR1200_ICFSM_IDLE;
290
                                hitmiss_eval <= #1 1'b0;
291
                                load <= #1 1'b0;
292
                                cache_inhibit <= #1 1'b0;
293
                        end
294
                        else                                            // fetch in-progress
295
                                hitmiss_eval <= #1 1'b0;
296
                end
297
                `OR1200_ICFSM_LREFILL3 : begin
298
                        if (biudata_valid_cml_2 && (|cnt_cml_2)) begin          // refill ack, more fetchs to come
299
                                cnt <= #1 cnt_cml_2 - 3'd1;
300
                                saved_addr_r[3:2] <= #1 saved_addr_r_cml_2[3:2] + 1'd1;
301
                        end
302
                        else if (biudata_valid_cml_2) begin                     // last fetch of line refill
303
                                state <= #1 `OR1200_ICFSM_IDLE;
304
                                saved_addr_r <= #1 start_addr;
305
                                hitmiss_eval <= #1 1'b0;
306
                                load <= #1 1'b0;
307
                        end
308
                end
309
                default:
310
                        state <= #1 `OR1200_ICFSM_IDLE;
311
        endcase end
312
end
313
 
314
 
315
always @ (posedge clk_i_cml_1) begin
316
ic_en_cml_1 <= ic_en;
317
tagcomp_miss_cml_1 <= tagcomp_miss;
318
biudata_valid_cml_1 <= biudata_valid;
319
biudata_error_cml_1 <= biudata_error;
320
saved_addr_r_cml_1 <= saved_addr_r;
321
state_cml_1 <= state;
322
cnt_cml_1 <= cnt;
323
hitmiss_eval_cml_1 <= hitmiss_eval;
324
load_cml_1 <= load;
325
cache_inhibit_cml_1 <= cache_inhibit;
326
end
327
always @ (posedge clk_i_cml_2) begin
328
ic_en_cml_2 <= ic_en_cml_1;
329
tagcomp_miss_cml_2 <= tagcomp_miss_cml_1;
330
biudata_valid_cml_2 <= biudata_valid_cml_1;
331
biudata_error_cml_2 <= biudata_error_cml_1;
332
saved_addr_r_cml_2 <= saved_addr_r_cml_1;
333
state_cml_2 <= state_cml_1;
334
cnt_cml_2 <= cnt_cml_1;
335
hitmiss_eval_cml_2 <= hitmiss_eval_cml_1;
336
load_cml_2 <= load_cml_1;
337
cache_inhibit_cml_2 <= cache_inhibit_cml_1;
338
end
339
endmodule
340
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.