OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_ic_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.7.4.2  2003/12/09 11:46:48  simons
48
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
49
//
50
// Revision 1.7.4.1  2003/07/08 15:36:37  lampret
51
// Added embedded memory QMEM.
52
//
53
// Revision 1.7  2002/10/17 20:04:40  lampret
54
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
55
//
56
// Revision 1.6  2002/03/29 15:16:55  lampret
57
// Some of the warnings fixed.
58
//
59
// Revision 1.5  2002/02/11 04:33:17  lampret
60
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
61
//
62
// Revision 1.4  2002/02/01 19:56:54  lampret
63
// Fixed combinational loops.
64
//
65
// Revision 1.3  2002/01/28 01:16:00  lampret
66
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
67
//
68
// Revision 1.2  2002/01/14 06:18:22  lampret
69
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
70
//
71
// Revision 1.1  2002/01/03 08:16:15  lampret
72
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
73
//
74
// Revision 1.10  2001/10/21 17:57:16  lampret
75
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
76
//
77
// Revision 1.9  2001/10/14 13:12:09  lampret
78
// MP3 version.
79
//
80
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
81
// no message
82
//
83
// Revision 1.4  2001/08/13 03:36:20  lampret
84
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
85
//
86
// Revision 1.3  2001/08/09 13:39:33  lampret
87
// Major clean-up.
88
//
89
// Revision 1.2  2001/07/22 03:31:53  lampret
90
// Fixed RAM's oen bug. Cache bypass under development.
91
//
92
// Revision 1.1  2001/07/20 00:46:03  lampret
93
// Development version of RTL. Libraries are missing.
94
//
95
//
96
 
97
// synopsys translate_off
98
`include "timescale.v"
99
// synopsys translate_on
100
`include "or1200_defines.v"
101
 
102
//
103
// Data cache
104
//
105
module or1200_ic_top_cm3(
106
                clk_i_cml_1,
107
                clk_i_cml_2,
108
                cmls,
109
 
110
        // Rst, clk and clock control
111
        clk, rst,
112
 
113
        // External i/f
114
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
115
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
116
 
117
        // Internal i/f
118
        ic_en,
119
        icqmem_adr_i, icqmem_cycstb_i, icqmem_ci_i,
120
        icqmem_sel_i, icqmem_tag_i,
121
        icqmem_dat_o, icqmem_ack_o, icqmem_rty_o, icqmem_err_o, icqmem_tag_o,
122
 
123
`ifdef OR1200_BIST
124
        // RAM BIST
125
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
126
`endif
127
 
128
        // SPRs
129
        spr_cs, spr_write, spr_dat_i
130
);
131
 
132
 
133
input clk_i_cml_1;
134
input clk_i_cml_2;
135
input [1:0] cmls;
136
reg  ic_en_cml_2;
137
reg  ic_en_cml_1;
138
reg  icqmem_err_o_cml_2;
139
reg  icqmem_err_o_cml_1;
140
reg  spr_write_cml_2;
141
reg [ 31 : 0 ] spr_dat_i_cml_2;
142
reg [ 31 : 0 ] spr_dat_i_cml_1;
143
reg [ 32 - 1 : 0 ] from_icram_cml_2;
144
reg [ 32 - 1 : 0 ] from_icram_cml_1;
145
reg [ 31 : 0 ] saved_addr_cml_2;
146
reg [ 31 : 0 ] saved_addr_cml_1;
147
reg  icfsm_first_miss_ack_cml_2;
148
reg  icfsm_first_miss_ack_cml_1;
149
 
150
 
151
 
152
parameter dw = `OR1200_OPERAND_WIDTH;
153
 
154
//
155
// I/O
156
//
157
 
158
//
159
// Clock and reset
160
//
161
input                           clk;
162
input                           rst;
163
 
164
//
165
// External I/F
166
//
167
output  [dw-1:0]         icbiu_dat_o;
168
output  [31:0]                   icbiu_adr_o;
169
output                          icbiu_cyc_o;
170
output                          icbiu_stb_o;
171
output                          icbiu_we_o;
172
output  [3:0]                    icbiu_sel_o;
173
output                          icbiu_cab_o;
174
input   [dw-1:0]         icbiu_dat_i;
175
input                           icbiu_ack_i;
176
input                           icbiu_err_i;
177
 
178
//
179
// Internal I/F
180
//
181
input                           ic_en;
182
input   [31:0]                   icqmem_adr_i;
183
input                           icqmem_cycstb_i;
184
input                           icqmem_ci_i;
185
input   [3:0]                    icqmem_sel_i;
186
input   [3:0]                    icqmem_tag_i;
187
output  [dw-1:0]         icqmem_dat_o;
188
output                          icqmem_ack_o;
189
output                          icqmem_rty_o;
190
output                          icqmem_err_o;
191
output  [3:0]                    icqmem_tag_o;
192
 
193
`ifdef OR1200_BIST
194
//
195
// RAM BIST
196
//
197
input mbist_si_i;
198
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
199
output mbist_so_o;
200
`endif
201
 
202
//
203
// SPR access
204
//
205
input                           spr_cs;
206
input                           spr_write;
207
input   [31:0]                   spr_dat_i;
208
 
209
//
210
// Internal wires and regs
211
//
212
wire                            tag_v;
213
wire    [`OR1200_ICTAG_W-2:0]    tag;
214
wire    [dw-1:0]         to_icram;
215
wire    [dw-1:0]         from_icram;
216
wire    [31:0]                   saved_addr;
217
wire    [3:0]                    icram_we;
218
wire                            ictag_we;
219
wire    [31:0]                   ic_addr;
220
wire                            icfsm_biu_read;
221
reg                             tagcomp_miss;
222
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
223
wire                            ictag_en;
224
wire                            ictag_v;
225
wire                            ic_inv;
226
wire                            icfsm_first_hit_ack;
227
wire                            icfsm_first_miss_ack;
228
wire                            icfsm_first_miss_err;
229
wire                            icfsm_burst;
230
wire                            icfsm_tag_we;
231
`ifdef OR1200_BIST
232
//
233
// RAM BIST
234
//
235
wire                            mbist_ram_so;
236
wire                            mbist_tag_so;
237
wire                            mbist_ram_si = mbist_si_i;
238
wire                            mbist_tag_si = mbist_ram_so;
239
assign                          mbist_so_o = mbist_tag_so;
240
`endif
241
 
242
//
243
// Simple assignments
244
//
245
assign icbiu_adr_o = ic_addr;
246
 
247
// SynEDA CoreMultiplier
248
// assignment(s): ic_inv
249
// replace(s): spr_write
250
assign ic_inv = spr_cs & spr_write_cml_2;
251
assign ictag_we = icfsm_tag_we | ic_inv;
252
 
253
// SynEDA CoreMultiplier
254
// assignment(s): ictag_addr
255
// replace(s): spr_dat_i
256
assign ictag_addr = ic_inv ? spr_dat_i_cml_2[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
257
 
258
// SynEDA CoreMultiplier
259
// assignment(s): ictag_en
260
// replace(s): ic_en
261
assign ictag_en = ic_inv | ic_en_cml_2;
262
assign ictag_v = ~ic_inv;
263
 
264
//
265
// Data to BIU is from ICRAM when IC is enabled or from LSU when
266
// IC is disabled
267
//
268
assign icbiu_dat_o = 32'h00000000;
269
 
270
//
271
// Bypases of the IC when IC is disabled
272
//
273
 
274
// SynEDA CoreMultiplier
275
// assignment(s): icbiu_cyc_o
276
// replace(s): ic_en
277
assign icbiu_cyc_o = (ic_en_cml_2) ? icfsm_biu_read : icqmem_cycstb_i;
278
 
279
// SynEDA CoreMultiplier
280
// assignment(s): icbiu_stb_o
281
// replace(s): ic_en
282
assign icbiu_stb_o = (ic_en_cml_2) ? icfsm_biu_read : icqmem_cycstb_i;
283
assign icbiu_we_o = 1'b0;
284
 
285
// SynEDA CoreMultiplier
286
// assignment(s): icbiu_sel_o
287
// replace(s): ic_en
288
assign icbiu_sel_o = (ic_en_cml_2 & icfsm_biu_read) ? 4'b1111 : icqmem_sel_i;
289
 
290
// SynEDA CoreMultiplier
291
// assignment(s): icbiu_cab_o
292
// replace(s): ic_en
293
assign icbiu_cab_o = (ic_en_cml_2) ? icfsm_burst : 1'b0;
294
assign icqmem_rty_o = ~icqmem_ack_o & ~icqmem_err_o;
295
 
296
// SynEDA CoreMultiplier
297
// assignment(s): icqmem_tag_o
298
// replace(s): icqmem_err_o
299
assign icqmem_tag_o = icqmem_err_o_cml_2 ? `OR1200_ITAG_BE : icqmem_tag_i;
300
 
301
//
302
// CPU normal and error termination
303
//
304
assign icqmem_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
305
assign icqmem_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
306
 
307
//
308
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
309
//
310
 
311
// SynEDA CoreMultiplier
312
// assignment(s): ic_addr
313
// replace(s): saved_addr
314
assign ic_addr = (icfsm_biu_read) ? saved_addr_cml_2 : icqmem_adr_i;
315
 
316
//
317
// Select between input data generated by LSU or by BIU
318
//
319
assign to_icram = icbiu_dat_i;
320
 
321
//
322
// Select between data generated by ICRAM or passed by BIU
323
//
324
 
325
// SynEDA CoreMultiplier
326
// assignment(s): icqmem_dat_o
327
// replace(s): ic_en, from_icram, icfsm_first_miss_ack
328
assign icqmem_dat_o = icfsm_first_miss_ack_cml_2 | !ic_en_cml_2 ? icbiu_dat_i : from_icram_cml_2;
329
 
330
//
331
// Tag comparison
332
//
333
wire    tag_comp_3;
334
wire    tag_comp_2;
335
wire    tag_comp_1;
336
wire    tag_comp_0;
337
 
338
assign tag_comp_3 = (tag[`OR1200_ICTAG_W-2:15] != saved_addr[31:`OR1200_ICTAGL + 15]);
339
assign tag_comp_2 = (tag[14:10] != saved_addr[`OR1200_ICTAGL + 14:`OR1200_ICTAGL + 10]);
340
assign tag_comp_1 = (tag[9:5] != saved_addr[`OR1200_ICTAGL + 9:`OR1200_ICTAGL + 5]);
341
assign tag_comp_0 = (tag[4:0] != saved_addr[`OR1200_ICTAGL + 4: `OR1200_ICTAGL]);
342
 
343
always @(tag or saved_addr or tag_v) begin
344
        //if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
345
        if ((tag_comp_3 | tag_comp_2 | tag_comp_1 | tag_comp_0) || !tag_v)
346
                tagcomp_miss = 1'b1;
347
        else
348
                tagcomp_miss = 1'b0;
349
end
350
 
351
//
352
// Instantiation of IC Finite State Machine
353
//
354
or1200_ic_fsm_cm3 or1200_ic_fsm(
355
                .clk_i_cml_1(clk_i_cml_1),
356
                .clk_i_cml_2(clk_i_cml_2),
357
        .clk(clk),
358
        .rst(rst),
359
        .ic_en(ic_en),
360
        .icqmem_cycstb_i(icqmem_cycstb_i),
361
        .icqmem_ci_i(icqmem_ci_i),
362
        .tagcomp_miss(tagcomp_miss),
363
        .biudata_valid(icbiu_ack_i),
364
        .biudata_error(icbiu_err_i),
365
        .start_addr(icqmem_adr_i),
366
        .saved_addr(saved_addr),
367
        .icram_we(icram_we),
368
        .biu_read(icfsm_biu_read),
369
        .first_hit_ack(icfsm_first_hit_ack),
370
        .first_miss_ack(icfsm_first_miss_ack),
371
        .first_miss_err(icfsm_first_miss_err),
372
        .burst(icfsm_burst),
373
        .tag_we(icfsm_tag_we)
374
);
375
 
376
//
377
// Instantiation of IC main memory
378
//
379
wire [`OR1200_ICINDXH:2] addr_ic_ram;
380
assign addr_ic_ram = ic_addr[`OR1200_ICINDXH:2];
381
or1200_ic_ram_cm3 or1200_ic_ram(
382
                .clk_i_cml_1(clk_i_cml_1),
383
                .clk_i_cml_2(clk_i_cml_2),
384
                .cmls(cmls),
385
        .clk(clk),
386
        .rst(rst),
387
`ifdef OR1200_BIST
388
        // RAM BIST
389
        .mbist_si_i(mbist_ram_si),
390
        .mbist_so_o(mbist_ram_so),
391
        .mbist_ctrl_i(mbist_ctrl_i),
392
`endif
393
        .addr(addr_ic_ram),
394
        .en(ic_en),
395
        .we(icram_we),
396
        .datain(to_icram),
397
        .dataout(from_icram)
398
);
399
 
400
//
401
// Instantiation of IC TAG memory
402
//
403
wire [31:`OR1200_ICTAGL - 1] ic_tag_datain;
404
assign ic_tag_datain = {ic_addr[31:`OR1200_ICTAGL], ictag_v};
405
or1200_ic_tag_cm3 or1200_ic_tag(
406
                .clk_i_cml_1(clk_i_cml_1),
407
                .clk_i_cml_2(clk_i_cml_2),
408
                .cmls(cmls),
409
        .clk(clk),
410
        .rst(rst),
411
`ifdef OR1200_BIST
412
        // RAM BIST
413
        .mbist_si_i(mbist_tag_si),
414
        .mbist_so_o(mbist_tag_so),
415
        .mbist_ctrl_i(mbist_ctrl_i),
416
`endif
417
        .addr(ictag_addr),
418
        .en(ictag_en),
419
        .we(ictag_we),
420
        .datain(ic_tag_datain),
421
        .tag_v(tag_v),
422
        .tag(tag)
423
);
424
 
425
 
426
always @ (posedge clk_i_cml_1) begin
427
ic_en_cml_1 <= ic_en;
428
icqmem_err_o_cml_1 <= icqmem_err_o;
429
spr_dat_i_cml_1 <= spr_dat_i;
430
from_icram_cml_1 <= from_icram;
431
saved_addr_cml_1 <= saved_addr;
432
icfsm_first_miss_ack_cml_1 <= icfsm_first_miss_ack;
433
end
434
always @ (posedge clk_i_cml_2) begin
435
ic_en_cml_2 <= ic_en_cml_1;
436
icqmem_err_o_cml_2 <= icqmem_err_o_cml_1;
437
spr_write_cml_2 <= spr_write;
438
spr_dat_i_cml_2 <= spr_dat_i_cml_1;
439
from_icram_cml_2 <= from_icram_cml_1;
440
saved_addr_cml_2 <= saved_addr_cml_1;
441
icfsm_first_miss_ack_cml_2 <= icfsm_first_miss_ack_cml_1;
442
end
443
endmodule
444
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.