OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_sprs.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's interface to SPRs                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Decoding of SPR addresses and access to SPRs                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.9.4.1  2003/12/17 13:43:38  simons
48
// Exception prefix configuration changed.
49
//
50
// Revision 1.9  2002/09/07 05:42:02  lampret
51
// Added optional SR[CY]. Added define to enable additional (compare) flag modifiers. Defines are OR1200_IMPL_ADDC and OR1200_ADDITIONAL_FLAG_MODIFIERS.
52
//
53
// Revision 1.8  2002/08/28 01:44:25  lampret
54
// Removed some commented RTL. Fixed SR/ESR flag bug.
55
//
56
// Revision 1.7  2002/03/29 15:16:56  lampret
57
// Some of the warnings fixed.
58
//
59
// Revision 1.6  2002/03/11 01:26:57  lampret
60
// Changed generation of SPR address. Now it is ORed from base and offset instead of a sum.
61
//
62
// Revision 1.5  2002/02/01 19:56:54  lampret
63
// Fixed combinational loops.
64
//
65
// Revision 1.4  2002/01/23 07:52:36  lampret
66
// Changed default reset values for SR and ESR to match or1ksim's. Fixed flop model in or1200_dpram_32x32 when OR1200_XILINX_RAM32X1D is defined.
67
//
68
// Revision 1.3  2002/01/19 09:27:49  lampret
69
// SR[TEE] should be zero after reset.
70
//
71
// Revision 1.2  2002/01/18 07:56:00  lampret
72
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
73
//
74
// Revision 1.1  2002/01/03 08:16:15  lampret
75
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
76
//
77
// Revision 1.12  2001/11/23 21:42:31  simons
78
// Program counter divided to PPC and NPC.
79
//
80
// Revision 1.11  2001/11/23 08:38:51  lampret
81
// Changed DSR/DRR behavior and exception detection.
82
//
83
// Revision 1.10  2001/11/12 01:45:41  lampret
84
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
85
//
86
// Revision 1.9  2001/10/21 17:57:16  lampret
87
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
88
//
89
// Revision 1.8  2001/10/14 13:12:10  lampret
90
// MP3 version.
91
//
92
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
93
// no message
94
//
95
// Revision 1.3  2001/08/13 03:36:20  lampret
96
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
97
//
98
// Revision 1.2  2001/08/09 13:39:33  lampret
99
// Major clean-up.
100
//
101
// Revision 1.1  2001/07/20 00:46:21  lampret
102
// Development version of RTL. Libraries are missing.
103
//
104
//
105
 
106
// synopsys translate_off
107
`include "timescale.v"
108
// synopsys translate_on
109
`include "or1200_defines.v"
110
 
111
module or1200_sprs_cm3(
112
                clk_i_cml_1,
113
                clk_i_cml_2,
114
 
115
                // Clk & Rst
116
                clk, rst,
117
 
118
                // Internal CPU interface
119
                flagforw, flag_we, flag, cyforw, cy_we, carry,
120
                addrbase, addrofs, dat_i, alu_op, branch_op,
121
                epcr, eear, esr, except_started,
122
                to_wbmux, epcr_we, eear_we, esr_we, pc_we, sr_we, to_sr, sr,
123
                spr_dat_cfgr, spr_dat_rf, spr_dat_npc, spr_dat_ppc, spr_dat_mac,
124
 
125
                // From/to other RISC units
126
                spr_dat_pic, spr_dat_tt, spr_dat_pm,
127
                spr_dat_dmmu, spr_dat_immu, spr_dat_du,
128
                spr_addr, spr_dat_o, spr_cs, spr_we,
129
 
130
                du_addr, du_dat_du, du_read,
131
                du_write, du_dat_cpu
132
 
133
);
134
 
135
 
136
input clk_i_cml_1;
137
input clk_i_cml_2;
138
reg  flag_we_cml_2;
139
reg  cy_we_cml_2;
140
reg [ 32 - 1 : 0 ] dat_i_cml_2;
141
reg [ 32 - 1 : 0 ] dat_i_cml_1;
142
reg [ 3 - 1 : 0 ] branch_op_cml_2;
143
reg [ 3 - 1 : 0 ] branch_op_cml_1;
144
reg [ 32 - 1 : 0 ] epcr_cml_1;
145
reg [ 32 - 1 : 0 ] eear_cml_1;
146
reg [ 16 - 1 : 0 ] esr_cml_2;
147
reg [ 16 - 1 : 0 ] esr_cml_1;
148
reg [ 32 - 1 : 0 ] to_wbmux_cml_2;
149
reg  sr_we_cml_2;
150
reg [ 16 - 1 : 0 ] sr_cml_2;
151
reg [ 16 - 1 : 0 ] sr_cml_1;
152
reg [ 31 : 0 ] spr_addr_cml_1;
153
reg [ 31 : 0 ] spr_dat_o_cml_2;
154
reg [ 31 : 0 ] spr_dat_o_cml_1;
155
reg [ 31 : 0 ] spr_cs_cml_1;
156
reg [ 32 - 1 : 0 ] du_dat_du_cml_2;
157
reg [ 32 - 1 : 0 ] du_dat_du_cml_1;
158
reg  du_read_cml_2;
159
reg  du_read_cml_1;
160
reg  du_write_cml_2;
161
reg  du_write_cml_1;
162
reg  write_spr_cml_2;
163
reg  write_spr_cml_1;
164
reg  read_spr_cml_1;
165
reg  npc_sel_cml_1;
166
reg  ppc_sel_cml_1;
167
reg  sr_sel_cml_2;
168
reg  epcr_sel_cml_2;
169
reg  eear_sel_cml_2;
170
reg  esr_sel_cml_2;
171
reg [ 4 - 1 : 0 ] sprs_op_cml_1;
172
 
173
 
174
 
175
parameter width = `OR1200_OPERAND_WIDTH;
176
 
177
//
178
// I/O Ports
179
//
180
 
181
//
182
// Internal CPU interface
183
//
184
input                           clk;            // Clock
185
input                           rst;            // Reset
186
input                           flagforw;       // From ALU
187
input                           flag_we;        // From ALU
188
output                          flag;           // SR[F]
189
input                           cyforw;         // From ALU
190
input                           cy_we;          // From ALU
191
output                          carry;          // SR[CY]
192
input   [width-1:0]              addrbase;       // SPR base address
193
input   [15:0]                   addrofs;        // SPR offset
194
input   [width-1:0]              dat_i;          // SPR write data
195
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;         // ALU operation
196
input   [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;      // Branch operation
197
input   [width-1:0]              epcr;           // EPCR0
198
input   [width-1:0]              eear;           // EEAR0
199
input   [`OR1200_SR_WIDTH-1:0]   esr;            // ESR0
200
input                           except_started; // Exception was started
201
output  [width-1:0]              to_wbmux;       // For l.mfspr
202
output                          epcr_we;        // EPCR0 write enable
203
output                          eear_we;        // EEAR0 write enable
204
output                          esr_we;         // ESR0 write enable
205
output                          pc_we;          // PC write enable
206
output                          sr_we;          // Write enable SR
207
output  [`OR1200_SR_WIDTH-1:0]   to_sr;          // Data to SR
208
output  [`OR1200_SR_WIDTH-1:0]   sr;             // SR
209
input   [31:0]                   spr_dat_cfgr;   // Data from CFGR
210
input   [31:0]                   spr_dat_rf;     // Data from RF
211
input   [31:0]                   spr_dat_npc;    // Data from NPC
212
input   [31:0]                   spr_dat_ppc;    // Data from PPC   
213
input   [31:0]                   spr_dat_mac;    // Data from MAC
214
 
215
//
216
// To/from other RISC units
217
//
218
input   [31:0]                   spr_dat_pic;    // Data from PIC
219
input   [31:0]                   spr_dat_tt;     // Data from TT
220
input   [31:0]                   spr_dat_pm;     // Data from PM
221
input   [31:0]                   spr_dat_dmmu;   // Data from DMMU
222
input   [31:0]                   spr_dat_immu;   // Data from IMMU
223
input   [31:0]                   spr_dat_du;     // Data from DU
224
output  [31:0]                   spr_addr;       // SPR Address
225
output  [31:0]                   spr_dat_o;      // Data to unit
226
output  [31:0]                   spr_cs;         // Unit select
227
output                          spr_we;         // SPR write enable
228
 
229
//
230
// To/from Debug Unit
231
//
232
input   [width-1:0]              du_addr;        // Address
233
input   [width-1:0]              du_dat_du;      // Data from DU to SPRS
234
input                           du_read;        // Read qualifier
235
input                           du_write;       // Write qualifier
236
output  [width-1:0]              du_dat_cpu;     // Data from SPRS to DU
237
 
238
//
239
// Internal regs & wires
240
//
241
reg     [`OR1200_SR_WIDTH-1:0]           sr;             // SR
242
reg                             write_spr;      // Write SPR
243
reg                             read_spr;       // Read SPR
244
reg     [width-1:0]              to_wbmux;       // For l.mfspr
245
wire                            cfgr_sel;       // Select for cfg regs
246
wire                            rf_sel;         // Select for RF
247
wire                            npc_sel;        // Select for NPC
248
wire                            ppc_sel;        // Select for PPC
249
wire                            sr_sel;         // Select for SR        
250
wire                            epcr_sel;       // Select for EPCR0
251
wire                            eear_sel;       // Select for EEAR0
252
wire                            esr_sel;        // Select for ESR0
253
wire    [31:0]                   sys_data;       // Read data from system SPRs
254
wire                            du_access;      // Debug unit access
255
wire    [`OR1200_ALUOP_WIDTH-1:0]        sprs_op;        // ALU operation
256
reg     [31:0]                   unqualified_cs; // Unqualified chip selects
257
 
258
//
259
// Decide if it is debug unit access
260
//
261
assign du_access = du_read | du_write;
262
 
263
//
264
// Generate sprs opcode
265
//
266
assign sprs_op = du_write ? `OR1200_ALUOP_MTSR : du_read ? `OR1200_ALUOP_MFSR : alu_op;
267
 
268
//
269
// Generate SPR address from base address and offset
270
// OR from debug unit address
271
//
272
assign spr_addr = du_access ? du_addr : addrbase | {16'h0000, addrofs};
273
 
274
//
275
// SPR is written by debug unit or by l.mtspr
276
//
277
assign spr_dat_o = du_write ? du_dat_du : dat_i;
278
 
279
//
280
// debug unit data input:
281
//  - write into debug unit SPRs by debug unit itself
282
//  - read of SPRS by debug unit
283
//  - write into debug unit SPRs by l.mtspr
284
//
285
 
286
// SynEDA CoreMultiplier
287
// assignment(s): du_dat_cpu
288
// replace(s): dat_i, to_wbmux, du_dat_du, du_read, du_write
289
assign du_dat_cpu = du_write_cml_2 ? du_dat_du_cml_2 : du_read_cml_2 ? to_wbmux_cml_2 : dat_i_cml_2;
290
 
291
//
292
// Write into SPRs when l.mtspr
293
//
294
 
295
// SynEDA CoreMultiplier
296
// assignment(s): spr_we
297
// replace(s): du_write, write_spr
298
assign spr_we = du_write_cml_1 | write_spr_cml_1;
299
 
300
//
301
// Qualify chip selects
302
//
303
assign spr_cs = unqualified_cs & {32{read_spr | write_spr}};
304
 
305
//
306
// Decoding of groups
307
//
308
always @(spr_addr)
309
        case (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
310
                `OR1200_SPR_GROUP_WIDTH'd00: unqualified_cs = 32'b00000000_00000000_00000000_00000001;
311
                `OR1200_SPR_GROUP_WIDTH'd01: unqualified_cs = 32'b00000000_00000000_00000000_00000010;
312
                `OR1200_SPR_GROUP_WIDTH'd02: unqualified_cs = 32'b00000000_00000000_00000000_00000100;
313
                `OR1200_SPR_GROUP_WIDTH'd03: unqualified_cs = 32'b00000000_00000000_00000000_00001000;
314
                `OR1200_SPR_GROUP_WIDTH'd04: unqualified_cs = 32'b00000000_00000000_00000000_00010000;
315
                `OR1200_SPR_GROUP_WIDTH'd05: unqualified_cs = 32'b00000000_00000000_00000000_00100000;
316
                `OR1200_SPR_GROUP_WIDTH'd06: unqualified_cs = 32'b00000000_00000000_00000000_01000000;
317
                `OR1200_SPR_GROUP_WIDTH'd07: unqualified_cs = 32'b00000000_00000000_00000000_10000000;
318
                `OR1200_SPR_GROUP_WIDTH'd08: unqualified_cs = 32'b00000000_00000000_00000001_00000000;
319
                `OR1200_SPR_GROUP_WIDTH'd09: unqualified_cs = 32'b00000000_00000000_00000010_00000000;
320
                `OR1200_SPR_GROUP_WIDTH'd10: unqualified_cs = 32'b00000000_00000000_00000100_00000000;
321
                `OR1200_SPR_GROUP_WIDTH'd11: unqualified_cs = 32'b00000000_00000000_00001000_00000000;
322
                `OR1200_SPR_GROUP_WIDTH'd12: unqualified_cs = 32'b00000000_00000000_00010000_00000000;
323
                `OR1200_SPR_GROUP_WIDTH'd13: unqualified_cs = 32'b00000000_00000000_00100000_00000000;
324
                `OR1200_SPR_GROUP_WIDTH'd14: unqualified_cs = 32'b00000000_00000000_01000000_00000000;
325
                `OR1200_SPR_GROUP_WIDTH'd15: unqualified_cs = 32'b00000000_00000000_10000000_00000000;
326
                `OR1200_SPR_GROUP_WIDTH'd16: unqualified_cs = 32'b00000000_00000001_00000000_00000000;
327
                `OR1200_SPR_GROUP_WIDTH'd17: unqualified_cs = 32'b00000000_00000010_00000000_00000000;
328
                `OR1200_SPR_GROUP_WIDTH'd18: unqualified_cs = 32'b00000000_00000100_00000000_00000000;
329
                `OR1200_SPR_GROUP_WIDTH'd19: unqualified_cs = 32'b00000000_00001000_00000000_00000000;
330
                `OR1200_SPR_GROUP_WIDTH'd20: unqualified_cs = 32'b00000000_00010000_00000000_00000000;
331
                `OR1200_SPR_GROUP_WIDTH'd21: unqualified_cs = 32'b00000000_00100000_00000000_00000000;
332
                `OR1200_SPR_GROUP_WIDTH'd22: unqualified_cs = 32'b00000000_01000000_00000000_00000000;
333
                `OR1200_SPR_GROUP_WIDTH'd23: unqualified_cs = 32'b00000000_10000000_00000000_00000000;
334
                `OR1200_SPR_GROUP_WIDTH'd24: unqualified_cs = 32'b00000001_00000000_00000000_00000000;
335
                `OR1200_SPR_GROUP_WIDTH'd25: unqualified_cs = 32'b00000010_00000000_00000000_00000000;
336
                `OR1200_SPR_GROUP_WIDTH'd26: unqualified_cs = 32'b00000100_00000000_00000000_00000000;
337
                `OR1200_SPR_GROUP_WIDTH'd27: unqualified_cs = 32'b00001000_00000000_00000000_00000000;
338
                `OR1200_SPR_GROUP_WIDTH'd28: unqualified_cs = 32'b00010000_00000000_00000000_00000000;
339
                `OR1200_SPR_GROUP_WIDTH'd29: unqualified_cs = 32'b00100000_00000000_00000000_00000000;
340
                `OR1200_SPR_GROUP_WIDTH'd30: unqualified_cs = 32'b01000000_00000000_00000000_00000000;
341
                `OR1200_SPR_GROUP_WIDTH'd31: unqualified_cs = 32'b10000000_00000000_00000000_00000000;
342
        endcase
343
 
344
//
345
// SPRs System Group
346
//
347
 
348
//
349
// What to write into SR
350
//
351
assign to_sr[`OR1200_SR_FO:`OR1200_SR_OV] =
352
                (branch_op_cml_2 == `OR1200_BRANCHOP_RFE) ? esr_cml_2[`OR1200_SR_FO:`OR1200_SR_OV] :
353
                (write_spr_cml_2 && sr_sel_cml_2) ? {1'b1, spr_dat_o_cml_2[`OR1200_SR_FO-1:`OR1200_SR_OV]}:
354
                sr_cml_2[`OR1200_SR_FO:`OR1200_SR_OV];
355
assign to_sr[`OR1200_SR_CY] =
356
                (branch_op_cml_2 == `OR1200_BRANCHOP_RFE) ? esr_cml_2[`OR1200_SR_CY] :
357
                cy_we_cml_2 ? cyforw :
358
                (write_spr_cml_2 && sr_sel_cml_2) ? spr_dat_o_cml_2[`OR1200_SR_CY] :
359
                sr_cml_2[`OR1200_SR_CY];
360
assign to_sr[`OR1200_SR_F] =
361
                (branch_op_cml_2 == `OR1200_BRANCHOP_RFE) ? esr_cml_2[`OR1200_SR_F] :
362
                flag_we_cml_2 ? flagforw :
363
                (write_spr_cml_2 && sr_sel_cml_2) ? spr_dat_o_cml_2[`OR1200_SR_F] :
364
                sr_cml_2[`OR1200_SR_F];
365
 
366
// SynEDA CoreMultiplier
367
// assignment(s): to_sr
368
// replace(s): flag_we, cy_we, branch_op, esr, sr, spr_dat_o, write_spr, sr_sel
369
assign to_sr[`OR1200_SR_CE:`OR1200_SR_SM] =
370
                (branch_op_cml_2 == `OR1200_BRANCHOP_RFE) ? esr_cml_2[`OR1200_SR_CE:`OR1200_SR_SM] :
371
                (write_spr_cml_2 && sr_sel_cml_2) ? spr_dat_o_cml_2[`OR1200_SR_CE:`OR1200_SR_SM]:
372
                sr_cml_2[`OR1200_SR_CE:`OR1200_SR_SM];
373
 
374
//
375
// Selects for system SPRs
376
//
377
 
378
// SynEDA CoreMultiplier
379
// assignment(s): cfgr_sel
380
// replace(s): spr_addr, spr_cs
381
assign cfgr_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:4] == `OR1200_SPR_CFGR));
382
 
383
// SynEDA CoreMultiplier
384
// assignment(s): rf_sel
385
// replace(s): spr_addr, spr_cs
386
assign rf_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:5] == `OR1200_SPR_RF));
387
assign npc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_NPC));
388
assign ppc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_PPC));
389
 
390
// SynEDA CoreMultiplier
391
// assignment(s): sr_sel
392
// replace(s): spr_addr, spr_cs
393
assign sr_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:0] == `OR1200_SPR_SR));
394
 
395
// SynEDA CoreMultiplier
396
// assignment(s): epcr_sel
397
// replace(s): spr_addr, spr_cs
398
assign epcr_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:0] == `OR1200_SPR_EPCR));
399
 
400
// SynEDA CoreMultiplier
401
// assignment(s): eear_sel
402
// replace(s): spr_addr, spr_cs
403
assign eear_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:0] == `OR1200_SPR_EEAR));
404
 
405
// SynEDA CoreMultiplier
406
// assignment(s): esr_sel
407
// replace(s): spr_addr, spr_cs
408
assign esr_sel = (spr_cs_cml_1[`OR1200_SPR_GROUP_SYS] && (spr_addr_cml_1[10:0] == `OR1200_SPR_ESR));
409
 
410
//
411
// Write enables for system SPRs
412
//
413
 
414
// SynEDA CoreMultiplier
415
// assignment(s): sr_we
416
// replace(s): branch_op, write_spr
417
assign sr_we = (write_spr_cml_1 && sr_sel) | (branch_op_cml_1 == `OR1200_BRANCHOP_RFE) | flag_we | cy_we;
418
assign pc_we = (write_spr && (npc_sel | ppc_sel));
419
 
420
// SynEDA CoreMultiplier
421
// assignment(s): epcr_we
422
// replace(s): write_spr, epcr_sel
423
assign epcr_we = (write_spr_cml_2 && epcr_sel_cml_2);
424
 
425
// SynEDA CoreMultiplier
426
// assignment(s): eear_we
427
// replace(s): write_spr, eear_sel
428
assign eear_we = (write_spr_cml_2 && eear_sel_cml_2);
429
 
430
// SynEDA CoreMultiplier
431
// assignment(s): esr_we
432
// replace(s): write_spr, esr_sel
433
assign esr_we = (write_spr_cml_2 && esr_sel_cml_2);
434
 
435
//
436
// Output from system SPRs
437
//
438
//assign sys_data = (spr_dat_cfgr & {32{read_spr & cfgr_sel}}) |
439
//                (spr_dat_rf & {32{read_spr & rf_sel}}) |
440
//                (spr_dat_npc & {32{read_spr & npc_sel}}) |
441
//                (spr_dat_ppc & {32{read_spr & ppc_sel}}) |
442
//                ({{32-`OR1200_SR_WIDTH{1'b0}},sr} & {32{read_spr & sr_sel}}) |
443
//                (epcr & {32{read_spr & epcr_sel}}) |
444
//                (eear & {32{read_spr & eear_sel}}) |
445
//                ({{32-`OR1200_SR_WIDTH{1'b0}},esr} & {32{read_spr & esr_sel}});
446
 
447
 
448
wire [31:0] read_spr_cfgr_sel_32;
449
wire [31:0] read_spr_rf_sel_32;
450
wire [31:0] read_spr_npc_sel_32;
451
wire [31:0] read_spr_ppc_sel_32;
452
wire [31:0] read_spr_sr_sel_32;
453
wire [31:0] read_spr_epcr_sel_32;
454
wire [31:0] read_spr_eear_sel_32;
455
wire [31:0] read_spr_esr_sel_32;
456
wire [31:0] sr_32;
457
wire [31:0] esr_32;
458
 
459
// SynEDA CoreMultiplier
460
// assignment(s): read_spr_cfgr_sel_32
461
// replace(s): read_spr
462
assign read_spr_cfgr_sel_32 = {32{read_spr_cml_1 & cfgr_sel}};
463
 
464
// SynEDA CoreMultiplier
465
// assignment(s): read_spr_rf_sel_32
466
// replace(s): read_spr
467
assign read_spr_rf_sel_32 = {32{read_spr_cml_1 & rf_sel}};
468
 
469
// SynEDA CoreMultiplier
470
// assignment(s): read_spr_npc_sel_32
471
// replace(s): read_spr, npc_sel
472
assign read_spr_npc_sel_32 = {32{read_spr_cml_1 & npc_sel_cml_1}};
473
 
474
// SynEDA CoreMultiplier
475
// assignment(s): read_spr_ppc_sel_32
476
// replace(s): read_spr, ppc_sel
477
assign read_spr_ppc_sel_32 = {32{read_spr_cml_1 & ppc_sel_cml_1}};
478
 
479
// SynEDA CoreMultiplier
480
// assignment(s): read_spr_sr_sel_32
481
// replace(s): read_spr
482
assign read_spr_sr_sel_32 = {32{read_spr_cml_1 & sr_sel}};
483
 
484
// SynEDA CoreMultiplier
485
// assignment(s): read_spr_epcr_sel_32
486
// replace(s): read_spr
487
assign read_spr_epcr_sel_32 = {32{read_spr_cml_1 & epcr_sel}};
488
 
489
// SynEDA CoreMultiplier
490
// assignment(s): read_spr_eear_sel_32
491
// replace(s): read_spr
492
assign read_spr_eear_sel_32 = {32{read_spr_cml_1 & eear_sel}};
493
 
494
// SynEDA CoreMultiplier
495
// assignment(s): read_spr_esr_sel_32
496
// replace(s): read_spr
497
assign read_spr_esr_sel_32 = {32{read_spr_cml_1 & esr_sel}};
498
 
499
// SynEDA CoreMultiplier
500
// assignment(s): sr_32
501
// replace(s): sr
502
assign sr_32 = {{32-`OR1200_SR_WIDTH{1'b0}},sr_cml_1};
503
 
504
// SynEDA CoreMultiplier
505
// assignment(s): esr_32
506
// replace(s): esr
507
assign esr_32 = {{32-`OR1200_SR_WIDTH{1'b0}},esr_cml_1};
508
 
509
 
510
// SynEDA CoreMultiplier
511
// assignment(s): sys_data
512
// replace(s): epcr, eear
513
assign sys_data = (spr_dat_cfgr & read_spr_cfgr_sel_32) |
514
                  (spr_dat_rf & read_spr_rf_sel_32) |
515
                  (spr_dat_npc & read_spr_npc_sel_32) |
516
                  (spr_dat_ppc & read_spr_ppc_sel_32) |
517
                  (sr_32 & read_spr_sr_sel_32) |
518
                  (epcr_cml_1 & read_spr_epcr_sel_32) |
519
                  (eear_cml_1 & read_spr_eear_sel_32) |
520
                  (esr_32 & read_spr_esr_sel_32);
521
 
522
 
523
//
524
// Flag alias
525
//
526
assign flag = sr[`OR1200_SR_F];
527
 
528
//
529
// Carry alias
530
//
531
assign carry = sr[`OR1200_SR_CY];
532
 
533
//
534
// Supervision register
535
//
536
 
537
// SynEDA CoreMultiplier
538
// assignment(s): sr
539
// replace(s): sr_we, sr
540
always @(posedge clk or posedge rst)
541
        if (rst)
542
                sr <= #1 {1'b1, `OR1200_SR_EPH_DEF, {`OR1200_SR_WIDTH-3{1'b0}}, 1'b1};
543
        else begin  sr <= sr_cml_2; if (except_started) begin
544
                sr[`OR1200_SR_SM]  <= #1 1'b1;
545
                sr[`OR1200_SR_TEE] <= #1 1'b0;
546
                sr[`OR1200_SR_IEE] <= #1 1'b0;
547
                sr[`OR1200_SR_DME] <= #1 1'b0;
548
                sr[`OR1200_SR_IME] <= #1 1'b0;
549
        end
550
        else if (sr_we_cml_2)
551
                sr <= #1 to_sr[`OR1200_SR_WIDTH-1:0]; end
552
 
553
//
554
// MTSPR/MFSPR interface
555
//
556
always @(sprs_op or spr_addr or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
557
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
558
        case (sprs_op)  // synopsys parallel_case
559
                `OR1200_ALUOP_MTSR : begin
560
                        write_spr = 1'b1;
561
                end
562
                `OR1200_ALUOP_MFSR : begin
563
                        write_spr = 1'b0;
564
                end
565
                default : begin
566
                        write_spr = 1'b0;
567
                end
568
        endcase
569
end
570
 
571
always @(sprs_op or spr_addr or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
572
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
573
        case (sprs_op)  // synopsys parallel_case
574
                `OR1200_ALUOP_MTSR : begin
575
                        read_spr = 1'b0;
576
                end
577
                `OR1200_ALUOP_MFSR : begin
578
                        read_spr = 1'b1;
579
                end
580
                default : begin
581
                        read_spr = 1'b0;
582
                end
583
        endcase
584
end
585
 
586
 
587
// SynEDA CoreMultiplier
588
// assignment(s): to_wbmux
589
// replace(s): spr_addr, sprs_op
590
always @(sprs_op_cml_1 or spr_addr_cml_1 or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
591
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
592
        case (sprs_op_cml_1)    // synopsys parallel_case
593
                `OR1200_ALUOP_MTSR : begin
594
                        to_wbmux = 32'b0;
595
                end
596
                `OR1200_ALUOP_MFSR : begin
597
                        casex (spr_addr_cml_1[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
598
                                `OR1200_SPR_GROUP_TT:
599
                                        to_wbmux = spr_dat_tt;
600
                                `OR1200_SPR_GROUP_PIC:
601
                                        to_wbmux = spr_dat_pic;
602
                                `OR1200_SPR_GROUP_PM:
603
                                        to_wbmux = spr_dat_pm;
604
                                `OR1200_SPR_GROUP_DMMU:
605
                                        to_wbmux = spr_dat_dmmu;
606
                                `OR1200_SPR_GROUP_IMMU:
607
                                        to_wbmux = spr_dat_immu;
608
                                `OR1200_SPR_GROUP_MAC:
609
                                        to_wbmux = spr_dat_mac;
610
                                `OR1200_SPR_GROUP_DU:
611
                                        to_wbmux = spr_dat_du;
612
                                `OR1200_SPR_GROUP_SYS:
613
                                        to_wbmux = sys_data;
614
                                default:
615
                                        to_wbmux = 32'b0;
616
                        endcase
617
                end
618
                default : begin
619
                        to_wbmux = 32'b0;
620
                end
621
        endcase
622
end
623
 
624
 
625
always @ (posedge clk_i_cml_1) begin
626
dat_i_cml_1 <= dat_i;
627
branch_op_cml_1 <= branch_op;
628
epcr_cml_1 <= epcr;
629
eear_cml_1 <= eear;
630
esr_cml_1 <= esr;
631
sr_cml_1 <= sr;
632
spr_addr_cml_1 <= spr_addr;
633
spr_dat_o_cml_1 <= spr_dat_o;
634
spr_cs_cml_1 <= spr_cs;
635
du_dat_du_cml_1 <= du_dat_du;
636
du_read_cml_1 <= du_read;
637
du_write_cml_1 <= du_write;
638
write_spr_cml_1 <= write_spr;
639
read_spr_cml_1 <= read_spr;
640
npc_sel_cml_1 <= npc_sel;
641
ppc_sel_cml_1 <= ppc_sel;
642
sprs_op_cml_1 <= sprs_op;
643
end
644
always @ (posedge clk_i_cml_2) begin
645
flag_we_cml_2 <= flag_we;
646
cy_we_cml_2 <= cy_we;
647
dat_i_cml_2 <= dat_i_cml_1;
648
branch_op_cml_2 <= branch_op_cml_1;
649
esr_cml_2 <= esr_cml_1;
650
to_wbmux_cml_2 <= to_wbmux;
651
sr_we_cml_2 <= sr_we;
652
sr_cml_2 <= sr_cml_1;
653
spr_dat_o_cml_2 <= spr_dat_o_cml_1;
654
du_dat_du_cml_2 <= du_dat_du_cml_1;
655
du_read_cml_2 <= du_read_cml_1;
656
du_write_cml_2 <= du_write_cml_1;
657
write_spr_cml_2 <= write_spr_cml_1;
658
sr_sel_cml_2 <= sr_sel;
659
epcr_sel_cml_2 <= epcr_sel;
660
eear_sel_cml_2 <= eear_sel;
661
esr_sel_cml_2 <= esr_sel;
662
end
663
endmodule
664
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.