OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm3/] [verilog/] [or1200_wb_biu.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's WISHBONE BIU                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Implements WISHBONE interface                               ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - if biu_cyc/stb are deasserted and wb_ack_i is asserted   ////
13
////   and this happens even before aborted_r is asssrted,        ////
14
////   wb_ack_i will be delivered even though transfer is         ////
15
////   internally considered already aborted. However most        ////
16
////   wb_ack_i are externally registered and delayed. Normally   ////
17
////   this shouldn't cause any problems.                         ////
18
////                                                              ////
19
////  Author(s):                                                  ////
20
////      - Damjan Lampret, lampret@opencores.org                 ////
21
////                                                              ////
22
//////////////////////////////////////////////////////////////////////
23
////                                                              ////
24
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
25
////                                                              ////
26
//// This source file may be used and distributed without         ////
27
//// restriction provided that this copyright statement is not    ////
28
//// removed from the file and that any derivative work contains  ////
29
//// the original copyright notice and the associated disclaimer. ////
30
////                                                              ////
31
//// This source file is free software; you can redistribute it   ////
32
//// and/or modify it under the terms of the GNU Lesser General   ////
33
//// Public License as published by the Free Software Foundation; ////
34
//// either version 2.1 of the License, or (at your option) any   ////
35
//// later version.                                               ////
36
////                                                              ////
37
//// This source is distributed in the hope that it will be       ////
38
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
39
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
40
//// PURPOSE.  See the GNU Lesser General Public License for more ////
41
//// details.                                                     ////
42
////                                                              ////
43
//// You should have received a copy of the GNU Lesser General    ////
44
//// Public License along with this source; if not, download it   ////
45
//// from http://www.opencores.org/lgpl.shtml                     ////
46
////                                                              ////
47
//////////////////////////////////////////////////////////////////////
48
//
49
// CVS Revision History
50
//
51
// $Log: not supported by cvs2svn $
52
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
53
// Added embedded memory QMEM.
54
//
55
// Revision 1.6  2003/04/07 20:57:46  lampret
56
// Fixed OR1200_CLKDIV_x_SUPPORTED defines. Fixed order of ifdefs.
57
//
58
// Revision 1.5  2002/12/08 08:57:56  lampret
59
// Added optional support for WB B3 specification (xwb_cti_o, xwb_bte_o). Made xwb_cab_o optional.
60
//
61
// Revision 1.4  2002/09/16 03:09:16  lampret
62
// Fixed a combinational loop.
63
//
64
// Revision 1.3  2002/08/12 05:31:37  lampret
65
// Added optional retry counter for wb_rty_i. Added graceful termination for aborted transfers.
66
//
67
// Revision 1.2  2002/07/14 22:17:17  lampret
68
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
69
//
70
// Revision 1.1  2002/01/03 08:16:15  lampret
71
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
72
//
73
// Revision 1.12  2001/11/22 13:42:51  lampret
74
// Added wb_cyc_o assignment after it was removed by accident.
75
//
76
// Revision 1.11  2001/11/20 21:28:10  lampret
77
// Added optional sampling of inputs.
78
//
79
// Revision 1.10  2001/11/18 11:32:00  lampret
80
// OR1200_REGISTERED_OUTPUTS can now be enabled.
81
//
82
// Revision 1.9  2001/10/21 17:57:16  lampret
83
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
84
//
85
// Revision 1.8  2001/10/14 13:12:10  lampret
86
// MP3 version.
87
//
88
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
89
// no message
90
//
91
// Revision 1.3  2001/08/09 13:39:33  lampret
92
// Major clean-up.
93
//
94
// Revision 1.2  2001/07/22 03:31:54  lampret
95
// Fixed RAM's oen bug. Cache bypass under development.
96
//
97
// Revision 1.1  2001/07/20 00:46:23  lampret
98
// Development version of RTL. Libraries are missing.
99
//
100
//
101
 
102
// synopsys translate_off
103
`include "timescale.v"
104
// synopsys translate_on
105
`include "or1200_defines.v"
106
 
107
module or1200_wb_biu_cm3(
108
                clk_i_cml_1,
109
                clk_i_cml_2,
110
 
111
        // RISC clock, reset and clock control
112
        clk, rst, clmode,
113
 
114
        // WISHBONE interface
115
        wb_clk_i, wb_rst_i, wb_ack_i, wb_err_i, wb_rty_i, wb_dat_i,
116
        wb_cyc_o, wb_adr_o, wb_stb_o, wb_we_o, wb_sel_o, wb_dat_o,
117
`ifdef OR1200_WB_CAB
118
        wb_cab_o,
119
`endif
120
`ifdef OR1200_WB_B3
121
        wb_cti_o, wb_bte_o,
122
`endif
123
 
124
        // Internal RISC bus
125
        biu_dat_i, biu_adr_i, biu_cyc_i, biu_stb_i, biu_we_i, biu_sel_i, biu_cab_i,
126
        biu_dat_o, biu_ack_o, biu_err_o
127
);
128
 
129
 
130
input clk_i_cml_1;
131
input clk_i_cml_2;
132
reg [ 1 : 0 ] clmode_cml_1;
133
reg  wb_ack_i_cml_2;
134
reg  wb_ack_i_cml_1;
135
reg  wb_err_i_cml_2;
136
reg  wb_err_i_cml_1;
137
reg [ 32 - 1 : 0 ] wb_dat_i_cml_1;
138
reg  wb_cyc_o_cml_2;
139
reg  wb_cyc_o_cml_1;
140
reg [ 32 - 1 : 0 ] wb_adr_o_cml_2;
141
reg [ 32 - 1 : 0 ] wb_adr_o_cml_1;
142
reg  wb_stb_o_cml_2;
143
reg  wb_stb_o_cml_1;
144
reg  wb_we_o_cml_2;
145
reg  wb_we_o_cml_1;
146
reg [ 3 : 0 ] wb_sel_o_cml_2;
147
reg [ 3 : 0 ] wb_sel_o_cml_1;
148
reg [ 32 - 1 : 0 ] wb_dat_o_cml_2;
149
reg [ 32 - 1 : 0 ] wb_dat_o_cml_1;
150
reg  wb_cab_o_cml_2;
151
reg  wb_cab_o_cml_1;
152
reg [ 1 : 0 ] valid_div_cml_2;
153
reg [ 1 : 0 ] valid_div_cml_1;
154
reg  aborted_r_cml_2;
155
reg  aborted_r_cml_1;
156
 
157
 
158
 
159
parameter dw = `OR1200_OPERAND_WIDTH;
160
parameter aw = `OR1200_OPERAND_WIDTH;
161
 
162
//
163
// RISC clock, reset and clock control
164
//
165
input                   clk;            // RISC clock
166
input                   rst;            // RISC reset
167
input   [1:0]            clmode;         // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
168
 
169
//
170
// WISHBONE interface
171
//
172
input                   wb_clk_i;       // clock input
173
input                   wb_rst_i;       // reset input
174
input                   wb_ack_i;       // normal termination
175
input                   wb_err_i;       // termination w/ error
176
input                   wb_rty_i;       // termination w/ retry
177
input   [dw-1:0] wb_dat_i;       // input data bus
178
output                  wb_cyc_o;       // cycle valid output
179
output  [aw-1:0] wb_adr_o;       // address bus outputs
180
output                  wb_stb_o;       // strobe output
181
output                  wb_we_o;        // indicates write transfer
182
output  [3:0]            wb_sel_o;       // byte select outputs
183
output  [dw-1:0] wb_dat_o;       // output data bus
184
`ifdef OR1200_WB_CAB
185
output                  wb_cab_o;       // consecutive address burst
186
`endif
187
`ifdef OR1200_WB_B3
188
output  [2:0]            wb_cti_o;       // cycle type identifier
189
output  [1:0]            wb_bte_o;       // burst type extension
190
`endif
191
 
192
//
193
// Internal RISC interface
194
//
195
input   [dw-1:0] biu_dat_i;      // input data bus
196
input   [aw-1:0] biu_adr_i;      // address bus
197
input                   biu_cyc_i;      // WB cycle
198
input                   biu_stb_i;      // WB strobe
199
input                   biu_we_i;       // WB write enable
200
input                   biu_cab_i;      // CAB input
201
input   [3:0]            biu_sel_i;      // byte selects
202
output  [31:0]           biu_dat_o;      // output data bus
203
output                  biu_ack_o;      // ack output
204
output                  biu_err_o;      // err output
205
 
206
//
207
// Registers
208
//
209
reg     [1:0]            valid_div;      // Used for synchronization
210
`ifdef OR1200_REGISTERED_OUTPUTS
211
reg     [aw-1:0] wb_adr_o;       // address bus outputs
212
reg                     wb_cyc_o;       // cycle output
213
reg                     wb_stb_o;       // strobe output
214
reg                     wb_we_o;        // indicates write transfer
215
reg     [3:0]            wb_sel_o;       // byte select outputs
216
`ifdef OR1200_WB_CAB
217
reg                     wb_cab_o;       // CAB output
218
`endif
219
`ifdef OR1200_WB_B3
220
reg     [1:0]            burst_len;      // burst counter
221
reg     [2:0]            wb_cti_o;       // cycle type identifier
222
`endif
223
reg     [dw-1:0] wb_dat_o;       // output data bus
224
`endif
225
`ifdef OR1200_REGISTERED_INPUTS
226
reg                     long_ack_o;     // normal termination
227
reg                     long_err_o;     // error termination
228
reg     [dw-1:0] biu_dat_o;      // output data bus
229
`else
230
wire                    long_ack_o;     // normal termination
231
wire                    long_err_o;     // error termination
232
`endif
233
wire                    aborted;        // Graceful abort
234
reg                     aborted_r;      // Graceful abort
235
wire                    retry;          // Retry
236
`ifdef OR1200_WB_RETRY
237
reg     [`OR1200_WB_RETRY-1:0] retry_cntr;       // Retry counter
238
`endif
239
 
240
//
241
// WISHBONE I/F <-> Internal RISC I/F conversion
242
//
243
 
244
//
245
// Address bus
246
//
247
`ifdef OR1200_REGISTERED_OUTPUTS
248
 
249
// SynEDA CoreMultiplier
250
// assignment(s): wb_adr_o
251
// replace(s): wb_ack_i, wb_adr_o, wb_stb_o
252
always @(posedge wb_clk_i or posedge wb_rst_i)
253
        if (wb_rst_i)
254
                wb_adr_o <= #1 {aw{1'b0}};
255
        else begin  wb_adr_o <= wb_adr_o_cml_2; if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i_cml_2 & ~aborted & ~(wb_stb_o_cml_2 & ~wb_ack_i_cml_2))
256
                wb_adr_o <= #1 biu_adr_i; end
257
`else
258
assign wb_adr_o = biu_adr_i;
259
`endif
260
 
261
//
262
// Input data bus
263
//
264
`ifdef OR1200_REGISTERED_INPUTS
265
always @(posedge wb_clk_i or posedge wb_rst_i)
266
        if (wb_rst_i)
267
                biu_dat_o <= #1 32'h0000_0000;
268
        else if (wb_ack_i)
269
                biu_dat_o <= #1 wb_dat_i_cml_1;
270
`else
271
 
272
// SynEDA CoreMultiplier
273
// assignment(s): biu_dat_o
274
// replace(s): wb_dat_i
275
assign biu_dat_o = wb_dat_i_cml_1;
276
`endif
277
 
278
//
279
// Output data bus
280
//
281
`ifdef OR1200_REGISTERED_OUTPUTS
282
 
283
// SynEDA CoreMultiplier
284
// assignment(s): wb_dat_o
285
// replace(s): wb_ack_i, wb_dat_o
286
always @(posedge wb_clk_i or posedge wb_rst_i)
287
        if (wb_rst_i)
288
                wb_dat_o <= #1 {dw{1'b0}};
289
        else begin  wb_dat_o <= wb_dat_o_cml_2; if ((biu_cyc_i & biu_stb_i) & ~wb_ack_i_cml_2 & ~aborted)
290
                wb_dat_o <= #1 biu_dat_i; end
291
`else
292
assign wb_dat_o = biu_dat_i;
293
`endif
294
 
295
//
296
// Valid_div counts RISC clock cycles by modulo 4
297
// and is used to synchronize external WB i/f to
298
// RISC clock
299
//
300
 
301
// SynEDA CoreMultiplier
302
// assignment(s): valid_div
303
// replace(s): valid_div
304
always @(posedge clk or posedge rst)
305
        if (rst)
306
                valid_div <= #1 2'b0;
307
        else begin  valid_div <= valid_div_cml_2;
308
                valid_div <= #1 valid_div_cml_2 + 1'd1; end
309
 
310
//
311
// biu_ack_o is one RISC clock cycle long long_ack_o.
312
// long_ack_o is one, two or four RISC clock cycles long because
313
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
314
//
315
assign biu_ack_o = long_ack_o
316
`ifdef OR1200_CLKDIV_2_SUPPORTED
317
                & (valid_div[0] | ~clmode[0])
318
`ifdef OR1200_CLKDIV_4_SUPPORTED
319
                & (valid_div[1] | ~clmode[1])
320
`endif
321
`endif
322
                ;
323
 
324
//
325
// Acknowledgment of the data to the RISC
326
//
327
// long_ack_o
328
//
329
`ifdef OR1200_REGISTERED_INPUTS
330
always @(posedge wb_clk_i or posedge wb_rst_i)
331
        if (wb_rst_i)
332
                long_ack_o <= #1 1'b0;
333
        else
334
                long_ack_o <= #1 wb_ack_i & ~aborted;
335
`else
336
assign long_ack_o = wb_ack_i & ~aborted_r;
337
`endif
338
 
339
//
340
// biu_err_o is one RISC clock cycle long long_err_o.
341
// long_err_o is one, two or four RISC clock cycles long because
342
// WISHBONE can work at 1, 1/2 or 1/4 RISC clock.
343
//
344
 
345
// SynEDA CoreMultiplier
346
// assignment(s): biu_err_o
347
// replace(s): clmode, valid_div
348
assign biu_err_o = long_err_o
349
`ifdef OR1200_CLKDIV_2_SUPPORTED
350
                & (valid_div_cml_1[0] | ~clmode_cml_1[0])
351
`ifdef OR1200_CLKDIV_4_SUPPORTED
352
                & (valid_div_cml_1[1] | ~clmode_cml_1[1])
353
`endif
354
`endif
355
                ;
356
 
357
//
358
// Error termination
359
//
360
// long_err_o
361
//
362
`ifdef OR1200_REGISTERED_INPUTS
363
always @(posedge wb_clk_i or posedge wb_rst_i)
364
        if (wb_rst_i)
365
                long_err_o <= #1 1'b0;
366
        else
367
                long_err_o <= #1 wb_err_i_cml_1 & ~aborted;
368
`else
369
 
370
// SynEDA CoreMultiplier
371
// assignment(s): long_err_o
372
// replace(s): wb_err_i, aborted_r
373
assign long_err_o = wb_err_i_cml_1 & ~aborted_r_cml_1;
374
`endif
375
 
376
//
377
// Retry counter
378
//
379
// Assert 'retry' when 'wb_rty_i' is sampled high and keep it high
380
// until retry counter doesn't expire
381
// 
382
`ifdef OR1200_WB_RETRY
383
assign retry = wb_rty_i | (|retry_cntr);
384
`else
385
assign retry = 1'b0;
386
`endif
387
`ifdef OR1200_WB_RETRY
388
always @(posedge wb_clk_i or posedge wb_rst_i)
389
        if (wb_rst_i)
390
                retry_cntr <= #1 1'b0;
391
        else if (wb_rty_i)
392
                retry_cntr <= #1 {`OR1200_WB_RETRY{1'b1}};
393
        else if (retry_cntr)
394
                retry_cntr <= #1 retry_cntr - 7'd1;
395
`endif
396
 
397
//
398
// Graceful completion of aborted transfers
399
//
400
// Assert 'aborted' when 1) current transfer is in progress (wb_stb_o; which
401
// we know is only asserted together with wb_cyc_o) 2) and in next WB clock cycle
402
// wb_stb_o would be deasserted (biu_cyc_i and biu_stb_i are low) 3) and
403
// there is no termination of current transfer in this WB clock cycle (wb_ack_i
404
// and wb_err_i are low).
405
// 'aborted_r' is registered 'aborted' and extended until this "aborted" transfer
406
// is properly terminated with wb_ack_i/wb_err_i.
407
// 
408
 
409
// SynEDA CoreMultiplier
410
// assignment(s): aborted
411
// replace(s): wb_ack_i, wb_err_i, wb_stb_o
412
assign aborted = wb_stb_o_cml_2 & ~(biu_cyc_i & biu_stb_i) & ~(wb_ack_i_cml_2 | wb_err_i_cml_2);
413
 
414
// SynEDA CoreMultiplier
415
// assignment(s): aborted_r
416
// replace(s): wb_ack_i, wb_err_i, aborted_r
417
always @(posedge wb_clk_i or posedge wb_rst_i)
418
        if (wb_rst_i)
419
                aborted_r <= #1 1'b0;
420
        else begin  aborted_r <= aborted_r_cml_2; if (wb_ack_i_cml_2 | wb_err_i_cml_2)
421
                aborted_r <= #1 1'b0;
422
        else if (aborted)
423
                aborted_r <= #1 1'b1; end
424
 
425
//
426
// WB cyc_o
427
//
428
// Either 1) normal transfer initiated by biu_cyc_i (and biu_cab_i if
429
// bursts are enabled) and possibly suspended by 'retry'
430
// or 2) extended "aborted" transfer
431
//
432
`ifdef OR1200_REGISTERED_OUTPUTS
433
 
434
// SynEDA CoreMultiplier
435
// assignment(s): wb_cyc_o
436
// replace(s): wb_ack_i, wb_cyc_o
437
always @(posedge wb_clk_i or posedge wb_rst_i)
438
        if (wb_rst_i)
439
                wb_cyc_o <= #1 1'b0;
440
        else begin  wb_cyc_o <= wb_cyc_o_cml_2;
441
`ifdef OR1200_NO_BURSTS
442
                wb_cyc_o <= #1 biu_cyc_i & ~wb_ack_i_cml_2 & ~retry | aborted & ~wb_ack_i_cml_2;
443
`else
444
                wb_cyc_o <= #1 biu_cyc_i & ~wb_ack_i_cml_2 & ~retry | biu_cab_i | aborted & ~wb_ack_i_cml_2; end
445
`endif
446
`else
447
`ifdef OR1200_NO_BURSTS
448
assign wb_cyc_o = biu_cyc_i & ~retry;
449
`else
450
assign wb_cyc_o = biu_cyc_i | biu_cab_i & ~retry;
451
`endif
452
`endif
453
 
454
//
455
// WB stb_o
456
//
457
`ifdef OR1200_REGISTERED_OUTPUTS
458
 
459
// SynEDA CoreMultiplier
460
// assignment(s): wb_stb_o
461
// replace(s): wb_ack_i, wb_stb_o
462
always @(posedge wb_clk_i or posedge wb_rst_i)
463
        if (wb_rst_i)
464
                wb_stb_o <= #1 1'b0;
465
        else begin  wb_stb_o <= wb_stb_o_cml_2;
466
                wb_stb_o <= #1 (biu_cyc_i & biu_stb_i) & ~wb_ack_i_cml_2 & ~retry | aborted & ~wb_ack_i_cml_2; end
467
`else
468
assign wb_stb_o = biu_cyc_i & biu_stb_i;
469
`endif
470
 
471
//
472
// WB we_o
473
//
474
`ifdef OR1200_REGISTERED_OUTPUTS
475
 
476
// SynEDA CoreMultiplier
477
// assignment(s): wb_we_o
478
// replace(s): wb_we_o
479
always @(posedge wb_clk_i or posedge wb_rst_i)
480
        if (wb_rst_i)
481
                wb_we_o <= #1 1'b0;
482
        else begin  wb_we_o <= wb_we_o_cml_2;
483
                wb_we_o <= #1 biu_cyc_i & biu_stb_i & biu_we_i | aborted & wb_we_o_cml_2; end
484
`else
485
assign wb_we_o = biu_cyc_i & biu_stb_i & biu_we_i;
486
`endif
487
 
488
//
489
// WB sel_o
490
//
491
`ifdef OR1200_REGISTERED_OUTPUTS
492
 
493
// SynEDA CoreMultiplier
494
// assignment(s): wb_sel_o
495
// replace(s): wb_sel_o
496
always @(posedge wb_clk_i or posedge wb_rst_i)
497
        if (wb_rst_i)
498
                wb_sel_o <= #1 4'b0000;
499
        else begin  wb_sel_o <= wb_sel_o_cml_2;
500
                wb_sel_o <= #1 biu_sel_i; end
501
`else
502
assign wb_sel_o = biu_sel_i;
503
`endif
504
 
505
`ifdef OR1200_WB_CAB
506
//
507
// WB cab_o
508
//
509
`ifdef OR1200_REGISTERED_OUTPUTS
510
 
511
// SynEDA CoreMultiplier
512
// assignment(s): wb_cab_o
513
// replace(s): wb_cab_o
514
always @(posedge wb_clk_i or posedge wb_rst_i)
515
        if (wb_rst_i)
516
                wb_cab_o <= #1 1'b0;
517
        else begin  wb_cab_o <= wb_cab_o_cml_2;
518
                wb_cab_o <= #1 biu_cab_i; end
519
`else
520
assign wb_cab_o = biu_cab_i;
521
`endif
522
`endif
523
 
524
`ifdef OR1200_WB_B3
525
//
526
// Count burst beats
527
//
528
always @(posedge wb_clk_i or posedge wb_rst_i)
529
        if (wb_rst_i)
530
                burst_len <= #1 2'b00;
531
        else if (biu_cab_i && burst_len && wb_ack_i)
532
                burst_len <= #1 burst_len - 1'b1;
533
        else if (~biu_cab_i)
534
                burst_len <= #1 2'b11;
535
 
536
//
537
// WB cti_o
538
//
539
`ifdef OR1200_REGISTERED_OUTPUTS
540
always @(posedge wb_clk_i or posedge wb_rst_i)
541
        if (wb_rst_i)
542
                wb_cti_o <= #1 3'b000;  // classic cycle
543
`ifdef OR1200_NO_BURSTS
544
        else
545
                wb_cti_o <= #1 3'b111;  // end-of-burst
546
`else
547
        else if (biu_cab_i && burst_len[1])
548
                wb_cti_o <= #1 3'b010;  // incrementing burst cycle
549
        else if (biu_cab_i && wb_ack_i)
550
                wb_cti_o <= #1 3'b111;  // end-of-burst
551
`endif  // OR1200_NO_BURSTS
552
`else
553
Unsupported !!!;
554
`endif
555
 
556
//
557
// WB bte_o
558
//
559
assign wb_bte_o = 2'b01;        // 4-beat wrap burst
560
 
561
`endif  // OR1200_WB_B3
562
 
563
 
564
always @ (posedge clk_i_cml_1) begin
565
clmode_cml_1 <= clmode;
566
wb_ack_i_cml_1 <= wb_ack_i;
567
wb_err_i_cml_1 <= wb_err_i;
568
wb_dat_i_cml_1 <= wb_dat_i;
569
wb_cyc_o_cml_1 <= wb_cyc_o;
570
wb_adr_o_cml_1 <= wb_adr_o;
571
wb_stb_o_cml_1 <= wb_stb_o;
572
wb_we_o_cml_1 <= wb_we_o;
573
wb_sel_o_cml_1 <= wb_sel_o;
574
wb_dat_o_cml_1 <= wb_dat_o;
575
wb_cab_o_cml_1 <= wb_cab_o;
576
valid_div_cml_1 <= valid_div;
577
aborted_r_cml_1 <= aborted_r;
578
end
579
always @ (posedge clk_i_cml_2) begin
580
wb_ack_i_cml_2 <= wb_ack_i_cml_1;
581
wb_err_i_cml_2 <= wb_err_i_cml_1;
582
wb_cyc_o_cml_2 <= wb_cyc_o_cml_1;
583
wb_adr_o_cml_2 <= wb_adr_o_cml_1;
584
wb_stb_o_cml_2 <= wb_stb_o_cml_1;
585
wb_we_o_cml_2 <= wb_we_o_cml_1;
586
wb_sel_o_cml_2 <= wb_sel_o_cml_1;
587
wb_dat_o_cml_2 <= wb_dat_o_cml_1;
588
wb_cab_o_cml_2 <= wb_cab_o_cml_1;
589
valid_div_cml_2 <= valid_div_cml_1;
590
aborted_r_cml_2 <= aborted_r_cml_1;
591
end
592
endmodule
593
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.