OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm4/] [verilog/] [or1200_pm.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Power Management                                   ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  PM according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - add support for dynamic clock gating                     ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.8  2001/10/21 17:57:16  lampret
48
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
49
//
50
// Revision 1.7  2001/10/14 13:12:10  lampret
51
// MP3 version.
52
//
53
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
54
// no message
55
//
56
// Revision 1.2  2001/08/09 13:39:33  lampret
57
// Major clean-up.
58
//
59
// Revision 1.1  2001/07/20 00:46:21  lampret
60
// Development version of RTL. Libraries are missing.
61
//
62
//
63
 
64
// synopsys translate_off
65
`include "timescale.v"
66
// synopsys translate_on
67
`include "or1200_defines.v"
68
 
69
module or1200_pm_cm4(
70
                clk_i_cml_1,
71
                clk_i_cml_2,
72
                clk_i_cml_3,
73
 
74
        // RISC Internal Interface
75
        clk, rst, pic_wakeup, spr_write, spr_addr, spr_dat_i, spr_dat_o,
76
 
77
        // Power Management Interface
78
        pm_clksd, pm_cpustall, pm_dc_gate, pm_ic_gate, pm_dmmu_gate,
79
        pm_immu_gate, pm_tt_gate, pm_cpu_gate, pm_wakeup, pm_lvolt
80
);
81
 
82
 
83
input clk_i_cml_1;
84
input clk_i_cml_2;
85
input clk_i_cml_3;
86
reg  spr_write_cml_3;
87
reg  spr_write_cml_2;
88
reg  spr_write_cml_1;
89
reg [ 31 : 0 ] spr_addr_cml_3;
90
reg [ 31 : 0 ] spr_addr_cml_2;
91
reg [ 31 : 0 ] spr_addr_cml_1;
92
reg [ 31 : 0 ] spr_dat_i_cml_3;
93
reg [ 31 : 0 ] spr_dat_i_cml_2;
94
reg [ 31 : 0 ] spr_dat_i_cml_1;
95
reg  pm_cpustall_cml_3;
96
reg  pm_cpustall_cml_2;
97
reg  pm_cpustall_cml_1;
98
reg [ 3 : 0 ] sdf_cml_3;
99
reg [ 3 : 0 ] sdf_cml_2;
100
reg [ 3 : 0 ] sdf_cml_1;
101
reg  dme_cml_3;
102
reg  dme_cml_2;
103
reg  dme_cml_1;
104
reg  sme_cml_3;
105
reg  sme_cml_2;
106
reg  sme_cml_1;
107
reg  dcge_cml_3;
108
reg  dcge_cml_2;
109
reg  dcge_cml_1;
110
 
111
 
112
 
113
//
114
// RISC Internal Interface
115
//
116
input           clk;            // Clock
117
input           rst;            // Reset
118
input           pic_wakeup;     // Wakeup from the PIC
119
input           spr_write;      // SPR Read/Write
120
input   [31:0]   spr_addr;       // SPR Address
121
input   [31:0]   spr_dat_i;      // SPR Write Data
122
output  [31:0]   spr_dat_o;      // SPR Read Data
123
 
124
//
125
// Power Management Interface
126
//
127
input           pm_cpustall;    // Stall the CPU
128
output  [3:0]    pm_clksd;       // Clock Slowdown factor
129
output          pm_dc_gate;     // Gate DCache clock
130
output          pm_ic_gate;     // Gate ICache clock
131
output          pm_dmmu_gate;   // Gate DMMU clock
132
output          pm_immu_gate;   // Gate IMMU clock
133
output          pm_tt_gate;     // Gate Tick Timer clock
134
output          pm_cpu_gate;    // Gate main RISC/CPU clock
135
output          pm_wakeup;      // Activate (de-gate) all clocks
136
output          pm_lvolt;       // Lower operating voltage
137
 
138
`ifdef OR1200_PM_IMPLEMENTED
139
 
140
//
141
// Power Management Register bits
142
//
143
reg     [3:0]    sdf;    // Slow-down factor
144
reg             dme;    // Doze Mode Enable
145
reg             sme;    // Sleep Mode Enable
146
reg             dcge;   // Dynamic Clock Gating Enable
147
 
148
//
149
// Internal wires
150
//
151
wire            pmr_sel; // PMR select
152
 
153
//
154
// PMR address decoder (partial decoder)
155
//
156
`ifdef OR1200_PM_PARTIAL_DECODING
157
 
158
// SynEDA CoreMultiplier
159
// assignment(s): pmr_sel
160
// replace(s): spr_addr
161
assign pmr_sel = (spr_addr_cml_3[`OR1200_SPR_GROUP_BITS] == `OR1200_SPRGRP_PM) ? 1'b1 : 1'b0;
162
`else
163
assign pmr_sel = ((spr_addr[`OR1200_SPR_GROUP_BITS] == `OR1200_SPRGRP_PM) &&
164
                  (spr_addr[`OR1200_SPR_OFS_BITS] == `OR1200_PM_OFS_PMR)) ? 1'b1 : 1'b0;
165
`endif
166
 
167
//
168
// Write to PMR and also PMR[DME]/PMR[SME] reset when
169
// pic_wakeup is asserted
170
//
171
 
172
// SynEDA CoreMultiplier
173
// assignment(s): sdf, dme, sme, dcge
174
// replace(s): spr_write, spr_dat_i, sdf, dme, sme, dcge
175
always @(posedge clk or posedge rst)
176
        if (rst)
177
                {dcge, sme, dme, sdf} <= 7'b0;
178
        else begin  dcge <= dcge_cml_3; sme <= sme_cml_3; dme <= dme_cml_3; sdf <= sdf_cml_3; if (pmr_sel && spr_write_cml_3) begin
179
                sdf <= #1 spr_dat_i_cml_3[`OR1200_PM_PMR_SDF];
180
                dme <= #1 spr_dat_i_cml_3[`OR1200_PM_PMR_DME];
181
                sme <= #1 spr_dat_i_cml_3[`OR1200_PM_PMR_SME];
182
                dcge <= #1 spr_dat_i_cml_3[`OR1200_PM_PMR_DCGE];
183
        end
184
        else if (pic_wakeup) begin
185
                dme <= #1 1'b0;
186
                sme <= #1 1'b0;
187
        end end
188
 
189
//
190
// Read PMR
191
//
192
`ifdef OR1200_PM_READREGS
193
assign spr_dat_o[`OR1200_PM_PMR_SDF] = sdf_cml_1;
194
assign spr_dat_o[`OR1200_PM_PMR_DME] = dme_cml_1;
195
assign spr_dat_o[`OR1200_PM_PMR_SME] = sme_cml_1;
196
assign spr_dat_o[`OR1200_PM_PMR_DCGE] = dcge_cml_1;
197
`ifdef OR1200_PM_UNUSED_ZERO
198
 
199
// SynEDA CoreMultiplier
200
// assignment(s): spr_dat_o
201
// replace(s): sdf, dme, sme, dcge
202
assign spr_dat_o[`OR1200_PM_PMR_UNUSED] = 25'b0;
203
`endif
204
`endif
205
 
206
//
207
// Generate pm_clksd
208
//
209
 
210
// SynEDA CoreMultiplier
211
// assignment(s): pm_clksd
212
// replace(s): sdf
213
assign pm_clksd = sdf_cml_3;
214
 
215
//
216
// Statically generate all clock gate outputs
217
// TODO: add dynamic clock gating feature
218
//
219
 
220
// SynEDA CoreMultiplier
221
// assignment(s): pm_cpu_gate
222
// replace(s): dme, sme
223
assign pm_cpu_gate = (dme_cml_3 | sme_cml_3) & ~pic_wakeup;
224
assign pm_dc_gate = pm_cpu_gate;
225
assign pm_ic_gate = pm_cpu_gate;
226
assign pm_dmmu_gate = pm_cpu_gate;
227
assign pm_immu_gate = pm_cpu_gate;
228
 
229
// SynEDA CoreMultiplier
230
// assignment(s): pm_tt_gate
231
// replace(s): sme
232
assign pm_tt_gate = sme_cml_3 & ~pic_wakeup;
233
 
234
//
235
// Assert pm_wakeup when pic_wakeup is asserted
236
//
237
assign pm_wakeup = pic_wakeup;
238
 
239
//
240
// Assert pm_lvolt when pm_cpu_gate or pm_cpustall are asserted
241
//
242
 
243
// SynEDA CoreMultiplier
244
// assignment(s): pm_lvolt
245
// replace(s): pm_cpustall
246
assign pm_lvolt = pm_cpu_gate | pm_cpustall_cml_3;
247
 
248
`else
249
 
250
//
251
// When PM is not implemented, drive all outputs as would when PM is disabled
252
//
253
assign pm_clksd = 4'b0;
254
assign pm_cpu_gate = 1'b0;
255
assign pm_dc_gate = 1'b0;
256
assign pm_ic_gate = 1'b0;
257
assign pm_dmmu_gate = 1'b0;
258
assign pm_immu_gate = 1'b0;
259
assign pm_tt_gate = 1'b0;
260
assign pm_wakeup = 1'b1;
261
assign pm_lvolt = 1'b0;
262
 
263
//
264
// Read PMR
265
//
266
`ifdef OR1200_PM_READREGS
267
assign spr_dat_o[`OR1200_PM_PMR_SDF] = 4'b0;
268
assign spr_dat_o[`OR1200_PM_PMR_DME] = 1'b0;
269
assign spr_dat_o[`OR1200_PM_PMR_SME] = 1'b0;
270
assign spr_dat_o[`OR1200_PM_PMR_DCGE] = 1'b0;
271
`ifdef OR1200_PM_UNUSED_ZERO
272
assign spr_dat_o[`OR1200_PM_PMR_UNUSED] = 25'b0;
273
`endif
274
`endif
275
 
276
`endif
277
 
278
 
279
always @ (posedge clk_i_cml_1) begin
280
spr_write_cml_1 <= spr_write;
281
spr_addr_cml_1 <= spr_addr;
282
spr_dat_i_cml_1 <= spr_dat_i;
283
pm_cpustall_cml_1 <= pm_cpustall;
284
sdf_cml_1 <= sdf;
285
dme_cml_1 <= dme;
286
sme_cml_1 <= sme;
287
dcge_cml_1 <= dcge;
288
end
289
always @ (posedge clk_i_cml_2) begin
290
spr_write_cml_2 <= spr_write_cml_1;
291
spr_addr_cml_2 <= spr_addr_cml_1;
292
spr_dat_i_cml_2 <= spr_dat_i_cml_1;
293
pm_cpustall_cml_2 <= pm_cpustall_cml_1;
294
sdf_cml_2 <= sdf_cml_1;
295
dme_cml_2 <= dme_cml_1;
296
sme_cml_2 <= sme_cml_1;
297
dcge_cml_2 <= dcge_cml_1;
298
end
299
always @ (posedge clk_i_cml_3) begin
300
spr_write_cml_3 <= spr_write_cml_2;
301
spr_addr_cml_3 <= spr_addr_cml_2;
302
spr_dat_i_cml_3 <= spr_dat_i_cml_2;
303
pm_cpustall_cml_3 <= pm_cpustall_cml_2;
304
sdf_cml_3 <= sdf_cml_2;
305
dme_cml_3 <= dme_cml_2;
306
sme_cml_3 <= sme_cml_2;
307
dcge_cml_3 <= dcge_cml_2;
308
end
309
endmodule
310
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.