OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm4/] [verilog/] [or1200_sb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Store Buffer                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Implements store buffer.                                    ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - byte combining                                           ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2002 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.1  2002/08/18 19:53:08  lampret
48
// Added store buffer.
49
//
50
//
51
 
52
// synopsys translate_off
53
`include "timescale.v"
54
// synopsys translate_on
55
`include "or1200_defines.v"
56
 
57
module or1200_sb_cm4(
58
        // RISC clock, reset
59
        clk, rst,
60
 
61
        // Internal RISC bus (DC<->SB)
62
        dcsb_dat_i, dcsb_adr_i, dcsb_cyc_i, dcsb_stb_i, dcsb_we_i, dcsb_sel_i, dcsb_cab_i,
63
        dcsb_dat_o, dcsb_ack_o, dcsb_err_o,
64
 
65
        // BIU bus
66
        sbbiu_dat_o, sbbiu_adr_o, sbbiu_cyc_o, sbbiu_stb_o, sbbiu_we_o, sbbiu_sel_o, sbbiu_cab_o,
67
        sbbiu_dat_i, sbbiu_ack_i, sbbiu_err_i
68
);
69
 
70
 
71
 
72
 
73
parameter dw = `OR1200_OPERAND_WIDTH;
74
parameter aw = `OR1200_OPERAND_WIDTH;
75
 
76
//
77
// RISC clock, reset
78
//
79
input                   clk;            // RISC clock
80
input                   rst;            // RISC reset
81
 
82
//
83
// Internal RISC bus (DC<->SB)
84
//
85
input   [dw-1:0] dcsb_dat_i;     // input data bus
86
input   [aw-1:0] dcsb_adr_i;     // address bus
87
input                   dcsb_cyc_i;     // WB cycle
88
input                   dcsb_stb_i;     // WB strobe
89
input                   dcsb_we_i;      // WB write enable
90
input                   dcsb_cab_i;     // CAB input
91
input   [3:0]            dcsb_sel_i;     // byte selects
92
output  [dw-1:0] dcsb_dat_o;     // output data bus
93
output                  dcsb_ack_o;     // ack output
94
output                  dcsb_err_o;     // err output
95
 
96
//
97
// BIU bus
98
//
99
output  [dw-1:0] sbbiu_dat_o;    // output data bus
100
output  [aw-1:0] sbbiu_adr_o;    // address bus
101
output                  sbbiu_cyc_o;    // WB cycle
102
output                  sbbiu_stb_o;    // WB strobe
103
output                  sbbiu_we_o;     // WB write enable
104
output                  sbbiu_cab_o;    // CAB input
105
output  [3:0]            sbbiu_sel_o;    // byte selects
106
input   [dw-1:0] sbbiu_dat_i;    // input data bus
107
input                   sbbiu_ack_i;    // ack output
108
input                   sbbiu_err_i;    // err output
109
 
110
`ifdef OR1200_SB_IMPLEMENTED
111
 
112
//
113
// Internal wires and regs
114
//
115
wire    [4+dw+aw-1:0]    fifo_dat_i;     // FIFO data in
116
wire    [4+dw+aw-1:0]    fifo_dat_o;     // FIFO data out
117
wire                    fifo_wr;
118
wire                    fifo_rd;
119
wire                    fifo_full;
120
wire                    fifo_empty;
121
wire                    sel_sb;
122
reg                     outstanding_store;
123
reg                     fifo_wr_ack;
124
 
125
//
126
// FIFO data in/out
127
//
128
assign fifo_dat_i = {dcsb_sel_i, dcsb_dat_i, dcsb_adr_i};
129
assign {sbbiu_sel_o, sbbiu_dat_o, sbbiu_adr_o} = sel_sb ? fifo_dat_o : {dcsb_sel_i, dcsb_dat_i, dcsb_adr_i};
130
 
131
//
132
// Control
133
//
134
assign fifo_wr = dcsb_cyc_i & dcsb_stb_i & dcsb_we_i & ~fifo_full & ~fifo_wr_ack;
135
assign fifo_rd = ~outstanding_store;
136
assign dcsb_dat_o = sbbiu_dat_i;
137
assign dcsb_ack_o = sel_sb ? fifo_wr_ack : sbbiu_ack_i;
138
assign dcsb_err_o = sel_sb ? 1'b0 : sbbiu_err_i;        // SB never returns error
139
assign sbbiu_cyc_o = sel_sb ? outstanding_store : dcsb_cyc_i;
140
assign sbbiu_stb_o = sel_sb ? outstanding_store : dcsb_stb_i;
141
assign sbbiu_we_o = sel_sb ? 1'b1 : dcsb_we_i;
142
assign sbbiu_cab_o = sel_sb ? 1'b0 : dcsb_cab_i;
143
assign sel_sb = ~fifo_empty | (fifo_empty & outstanding_store); // | fifo_wr;
144
 
145
//
146
// Store buffer FIFO instantiation
147
//
148
or1200_sb_fifo or1200_sb_fifo (
149
        .clk_i(clk),
150
        .rst_i(rst),
151
        .dat_i(fifo_dat_i),
152
        .wr_i(fifo_wr),
153
        .rd_i(fifo_rd),
154
        .dat_o(fifo_dat_o),
155
        .full_o(fifo_full),
156
        .empty_o(fifo_empty)
157
);
158
 
159
//
160
// fifo_rd
161
//
162
always @(posedge clk or posedge rst)
163
        if (rst)
164
                outstanding_store <= #1 1'b0;
165
        else if (sbbiu_ack_i)
166
                outstanding_store <= #1 1'b0;
167
        else if (sel_sb | fifo_wr)
168
                outstanding_store <= #1 1'b1;
169
 
170
//
171
// fifo_wr_ack
172
//
173
always @(posedge clk or posedge rst)
174
        if (rst)
175
                fifo_wr_ack <= #1 1'b0;
176
        else if (fifo_wr)
177
                fifo_wr_ack <= #1 1'b1;
178
        else
179
                fifo_wr_ack <= #1 1'b0;
180
 
181
`else   // !OR1200_SB_IMPLEMENTED
182
 
183
assign sbbiu_dat_o = dcsb_dat_i;
184
assign sbbiu_adr_o = dcsb_adr_i;
185
assign sbbiu_cyc_o = dcsb_cyc_i;
186
assign sbbiu_stb_o = dcsb_stb_i;
187
assign sbbiu_we_o = dcsb_we_i;
188
assign sbbiu_cab_o = dcsb_cab_i;
189
assign sbbiu_sel_o = dcsb_sel_i;
190
assign dcsb_dat_o = sbbiu_dat_i;
191
assign dcsb_ack_o = sbbiu_ack_i;
192
assign dcsb_err_o = sbbiu_err_i;
193
 
194
`endif
195
 
196
endmodule
197
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.