OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_cm4/] [verilog/] [or1200_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200 Top Level                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  OR1200 Top Level                                            ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.12  2004/04/05 08:29:57  lampret
48
// Merged branch_qmem into main tree.
49
//
50
// Revision 1.10.4.9  2004/02/11 01:40:11  lampret
51
// preliminary HW breakpoints support in debug unit (by default disabled). To enable define OR1200_DU_HWBKPTS.
52
//
53
// Revision 1.10.4.8  2004/01/17 21:14:14  simons
54
// Errors fixed.
55
//
56
// Revision 1.10.4.7  2004/01/17 19:06:38  simons
57
// Error fixed.
58
//
59
// Revision 1.10.4.6  2004/01/17 18:39:48  simons
60
// Error fixed.
61
//
62
// Revision 1.10.4.5  2004/01/15 06:46:38  markom
63
// interface to debug changed; no more opselect; stb-ack protocol
64
//
65
// Revision 1.10.4.4  2003/12/09 11:46:49  simons
66
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
67
//
68
// Revision 1.10.4.3  2003/12/05 00:08:44  lampret
69
// Fixed instantiation name.
70
//
71
// Revision 1.10.4.2  2003/07/11 01:10:35  lampret
72
// Added three missing wire declarations. No functional changes.
73
//
74
// Revision 1.10.4.1  2003/07/08 15:36:37  lampret
75
// Added embedded memory QMEM.
76
//
77
// Revision 1.10  2002/12/08 08:57:56  lampret
78
// Added optional support for WB B3 specification (xwb_cti_o, xwb_bte_o). Made xwb_cab_o optional.
79
//
80
// Revision 1.9  2002/10/17 20:04:41  lampret
81
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
82
//
83
// Revision 1.8  2002/08/18 19:54:22  lampret
84
// Added store buffer.
85
//
86
// Revision 1.7  2002/07/14 22:17:17  lampret
87
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
88
//
89
// Revision 1.6  2002/03/29 15:16:56  lampret
90
// Some of the warnings fixed.
91
//
92
// Revision 1.5  2002/02/11 04:33:17  lampret
93
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
94
//
95
// Revision 1.4  2002/02/01 19:56:55  lampret
96
// Fixed combinational loops.
97
//
98
// Revision 1.3  2002/01/28 01:16:00  lampret
99
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
100
//
101
// Revision 1.2  2002/01/18 07:56:00  lampret
102
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
103
//
104
// Revision 1.1  2002/01/03 08:16:15  lampret
105
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
106
//
107
// Revision 1.13  2001/11/23 08:38:51  lampret
108
// Changed DSR/DRR behavior and exception detection.
109
//
110
// Revision 1.12  2001/11/20 00:57:22  lampret
111
// Fixed width of du_except.
112
//
113
// Revision 1.11  2001/11/18 08:36:28  lampret
114
// For GDB changed single stepping and disabled trap exception.
115
//
116
// Revision 1.10  2001/10/21 17:57:16  lampret
117
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
118
//
119
// Revision 1.9  2001/10/14 13:12:10  lampret
120
// MP3 version.
121
//
122
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
123
// no message
124
//
125
// Revision 1.4  2001/08/13 03:36:20  lampret
126
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
127
//
128
// Revision 1.3  2001/08/09 13:39:33  lampret
129
// Major clean-up.
130
//
131
// Revision 1.2  2001/07/22 03:31:54  lampret
132
// Fixed RAM's oen bug. Cache bypass under development.
133
//
134
// Revision 1.1  2001/07/20 00:46:21  lampret
135
// Development version of RTL. Libraries are missing.
136
//
137
//
138
 
139
// synopsys translate_off
140
`include "timescale.v"
141
// synopsys translate_on
142
`include "or1200_defines.v"
143
 
144
module or1200_top_cm4(
145
                clk_i_cml_1,
146
                clk_i_cml_2,
147
                clk_i_cml_3,
148
                cmls,
149
 
150
        // System
151
        clk_i, rst_i, pic_ints_i, clmode_i,
152
 
153
        // Instruction WISHBONE INTERFACE
154
        //iwb_clk_i, iwb_rst_i, 
155
        iwb_ack_i, iwb_err_i, iwb_rty_i, iwb_dat_i,
156
        iwb_cyc_o, iwb_adr_o, iwb_stb_o, iwb_we_o, iwb_sel_o, iwb_dat_o,
157
`ifdef OR1200_WB_CAB
158
        iwb_cab_o,
159
`endif
160
`ifdef OR1200_WB_B3
161
        iwb_cti_o, iwb_bte_o,
162
`endif
163
        // Data WISHBONE INTERFACE
164
        //dwb_clk_i, dwb_rst_i, 
165
        dwb_ack_i, dwb_err_i, dwb_rty_i, dwb_dat_i,
166
        dwb_cyc_o, dwb_adr_o, dwb_stb_o, dwb_we_o, dwb_sel_o, dwb_dat_o,
167
`ifdef OR1200_WB_CAB
168
        dwb_cab_o,
169
`endif
170
`ifdef OR1200_WB_B3
171
        dwb_cti_o, dwb_bte_o,
172
`endif
173
 
174
        // External Debug Interface
175
        dbg_stall_i, dbg_ewt_i, dbg_lss_o, dbg_is_o, dbg_wp_o, dbg_bp_o,
176
        dbg_stb_i, dbg_we_i, dbg_adr_i, dbg_dat_i, dbg_dat_o, dbg_ack_o,
177
 
178
`ifdef OR1200_BIST
179
        // RAM BIST
180
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
181
`endif
182
        // Power Management
183
        pm_cpustall_i,
184
        pm_clksd_o, pm_dc_gate_o, pm_ic_gate_o, pm_dmmu_gate_o,
185
        pm_immu_gate_o, pm_tt_gate_o, pm_cpu_gate_o, pm_wakeup_o, pm_lvolt_o
186
 
187
);
188
 
189
 
190
input clk_i_cml_1;
191
input clk_i_cml_2;
192
input clk_i_cml_3;
193
input [1:0] cmls;
194
reg  iwb_cyc_o_cml_3;
195
reg  iwb_cyc_o_cml_2;
196
reg  iwb_cyc_o_cml_1;
197
reg [ 32 - 1 : 0 ] iwb_adr_o_cml_3;
198
reg [ 32 - 1 : 0 ] iwb_adr_o_cml_2;
199
reg [ 32 - 1 : 0 ] iwb_adr_o_cml_1;
200
reg  iwb_stb_o_cml_3;
201
reg  iwb_stb_o_cml_2;
202
reg  iwb_stb_o_cml_1;
203
reg  iwb_we_o_cml_3;
204
reg  iwb_we_o_cml_2;
205
reg  iwb_we_o_cml_1;
206
reg [ 3 : 0 ] iwb_sel_o_cml_3;
207
reg [ 3 : 0 ] iwb_sel_o_cml_2;
208
reg [ 3 : 0 ] iwb_sel_o_cml_1;
209
reg [ 32 - 1 : 0 ] iwb_dat_o_cml_3;
210
reg [ 32 - 1 : 0 ] iwb_dat_o_cml_2;
211
reg [ 32 - 1 : 0 ] iwb_dat_o_cml_1;
212
reg  iwb_cab_o_cml_3;
213
reg  iwb_cab_o_cml_2;
214
reg  iwb_cab_o_cml_1;
215
reg  dwb_cyc_o_cml_3;
216
reg  dwb_cyc_o_cml_2;
217
reg  dwb_cyc_o_cml_1;
218
reg [ 32 - 1 : 0 ] dwb_adr_o_cml_3;
219
reg [ 32 - 1 : 0 ] dwb_adr_o_cml_2;
220
reg [ 32 - 1 : 0 ] dwb_adr_o_cml_1;
221
reg  dwb_stb_o_cml_3;
222
reg  dwb_stb_o_cml_2;
223
reg  dwb_stb_o_cml_1;
224
reg  dwb_we_o_cml_3;
225
reg  dwb_we_o_cml_2;
226
reg  dwb_we_o_cml_1;
227
reg [ 3 : 0 ] dwb_sel_o_cml_3;
228
reg [ 3 : 0 ] dwb_sel_o_cml_2;
229
reg [ 3 : 0 ] dwb_sel_o_cml_1;
230
reg [ 32 - 1 : 0 ] dwb_dat_o_cml_3;
231
reg [ 32 - 1 : 0 ] dwb_dat_o_cml_2;
232
reg [ 32 - 1 : 0 ] dwb_dat_o_cml_1;
233
reg  dwb_cab_o_cml_3;
234
reg  dwb_cab_o_cml_2;
235
reg  dwb_cab_o_cml_1;
236
reg [ 1 : 0 ] dbg_is_o_cml_3;
237
reg [ 1 : 0 ] dbg_is_o_cml_2;
238
reg [ 1 : 0 ] dbg_is_o_cml_1;
239
reg  dbg_ack_o_cml_3;
240
reg  dbg_ack_o_cml_2;
241
reg  dbg_ack_o_cml_1;
242
reg [ 31 : 0 ] spr_cs_cml_3;
243
reg [ 31 : 0 ] spr_cs_cml_2;
244
reg [ 31 : 0 ] spr_cs_cml_1;
245
 
246
 
247
 
248
parameter dw = `OR1200_OPERAND_WIDTH;
249
parameter aw = `OR1200_OPERAND_WIDTH;
250
parameter ppic_ints = `OR1200_PIC_INTS;
251
 
252
//
253
// I/O
254
//
255
 
256
//
257
// System
258
//
259
input                   clk_i;
260
input                   rst_i;
261
input   [1:0]            clmode_i;       // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
262
input   [ppic_ints-1:0]  pic_ints_i;
263
 
264
//
265
// Instruction WISHBONE interface
266
//
267
//input                 iwb_clk_i;      // clock input
268
//input                 iwb_rst_i;      // reset input
269
wire iwb_clk_i = clk_i;
270
wire iwb_rst_i = rst_i;
271
 
272
input                   iwb_ack_i;      // normal termination
273
input                   iwb_err_i;      // termination w/ error
274
input                   iwb_rty_i;      // termination w/ retry
275
input   [dw-1:0] iwb_dat_i;      // input data bus
276
output                  iwb_cyc_o;      // cycle valid output
277
output  [aw-1:0] iwb_adr_o;      // address bus outputs
278
output                  iwb_stb_o;      // strobe output
279
output                  iwb_we_o;       // indicates write transfer
280
output  [3:0]            iwb_sel_o;      // byte select outputs
281
output  [dw-1:0] iwb_dat_o;      // output data bus
282
`ifdef OR1200_WB_CAB
283
output                  iwb_cab_o;      // indicates consecutive address burst
284
`endif
285
`ifdef OR1200_WB_B3
286
output  [2:0]            iwb_cti_o;      // cycle type identifier
287
output  [1:0]            iwb_bte_o;      // burst type extension
288
`endif
289
 
290
//
291
// Data WISHBONE interface
292
//
293
//input                 dwb_clk_i;      // clock input
294
//input                 dwb_rst_i;      // reset input
295
wire dwb_clk_i = clk_i;
296
wire dwb_rst_i = rst_i;
297
 
298
input                   dwb_ack_i;      // normal termination
299
input                   dwb_err_i;      // termination w/ error
300
input                   dwb_rty_i;      // termination w/ retry
301
input   [dw-1:0] dwb_dat_i;      // input data bus
302
output                  dwb_cyc_o;      // cycle valid output
303
output  [aw-1:0] dwb_adr_o;      // address bus outputs
304
output                  dwb_stb_o;      // strobe output
305
output                  dwb_we_o;       // indicates write transfer
306
output  [3:0]            dwb_sel_o;      // byte select outputs
307
output  [dw-1:0] dwb_dat_o;      // output data bus
308
`ifdef OR1200_WB_CAB
309
output                  dwb_cab_o;      // indicates consecutive address burst
310
`endif
311
`ifdef OR1200_WB_B3
312
output  [2:0]            dwb_cti_o;      // cycle type identifier
313
output  [1:0]            dwb_bte_o;      // burst type extension
314
`endif
315
 
316
//
317
// External Debug Interface
318
//
319
input                   dbg_stall_i;    // External Stall Input
320
input                   dbg_ewt_i;      // External Watchpoint Trigger Input
321
output  [3:0]            dbg_lss_o;      // External Load/Store Unit Status
322
output  [1:0]            dbg_is_o;       // External Insn Fetch Status
323
output  [10:0]           dbg_wp_o;       // Watchpoints Outputs
324
output                  dbg_bp_o;       // Breakpoint Output
325
input                   dbg_stb_i;      // External Address/Data Strobe
326
input                   dbg_we_i;       // External Write Enable
327
input   [aw-1:0] dbg_adr_i;      // External Address Input
328
input   [dw-1:0] dbg_dat_i;      // External Data Input
329
output  [dw-1:0] dbg_dat_o;      // External Data Output
330
output                  dbg_ack_o;      // External Data Acknowledge (not WB compatible)
331
 
332
`ifdef OR1200_BIST
333
//
334
// RAM BIST
335
//
336
input mbist_si_i;
337
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
338
output mbist_so_o;
339
`endif
340
 
341
//
342
// Power Management
343
//
344
input                   pm_cpustall_i;
345
output  [3:0]            pm_clksd_o;
346
output                  pm_dc_gate_o;
347
output                  pm_ic_gate_o;
348
output                  pm_dmmu_gate_o;
349
output                  pm_immu_gate_o;
350
output                  pm_tt_gate_o;
351
output                  pm_cpu_gate_o;
352
output                  pm_wakeup_o;
353
output                  pm_lvolt_o;
354
 
355
 
356
//
357
// Internal wires and regs
358
//
359
 
360
//
361
// DC to SB
362
//
363
wire    [dw-1:0] dcsb_dat_dc;
364
wire    [aw-1:0] dcsb_adr_dc;
365
wire                    dcsb_cyc_dc;
366
wire                    dcsb_stb_dc;
367
wire                    dcsb_we_dc;
368
wire    [3:0]            dcsb_sel_dc;
369
wire                    dcsb_cab_dc;
370
wire    [dw-1:0] dcsb_dat_sb;
371
wire                    dcsb_ack_sb;
372
wire                    dcsb_err_sb;
373
 
374
//
375
// SB to BIU
376
//
377
wire    [dw-1:0] sbbiu_dat_sb;
378
wire    [aw-1:0] sbbiu_adr_sb;
379
wire                    sbbiu_cyc_sb;
380
wire                    sbbiu_stb_sb;
381
wire                    sbbiu_we_sb;
382
wire    [3:0]            sbbiu_sel_sb;
383
wire                    sbbiu_cab_sb;
384
wire    [dw-1:0] sbbiu_dat_biu;
385
wire                    sbbiu_ack_biu;
386
wire                    sbbiu_err_biu;
387
 
388
//
389
// IC to BIU
390
//
391
wire    [dw-1:0] icbiu_dat_ic;
392
wire    [aw-1:0] icbiu_adr_ic;
393
wire                    icbiu_cyc_ic;
394
wire                    icbiu_stb_ic;
395
wire                    icbiu_we_ic;
396
wire    [3:0]            icbiu_sel_ic;
397
wire    [3:0]            icbiu_tag_ic;
398
wire                    icbiu_cab_ic;
399
wire    [dw-1:0] icbiu_dat_biu;
400
wire                    icbiu_ack_biu;
401
wire                    icbiu_err_biu;
402
wire    [3:0]            icbiu_tag_biu;
403
 
404
//
405
// CPU's SPR access to various RISC units (shared wires)
406
//
407
wire                    supv;
408
wire    [aw-1:0] spr_addr;
409
wire    [dw-1:0] spr_dat_cpu;
410
wire    [31:0]           spr_cs;
411
wire                    spr_we;
412
 
413
//
414
// DMMU and CPU
415
//
416
wire                    dmmu_en;
417
wire    [31:0]           spr_dat_dmmu;
418
 
419
//
420
// DMMU and QMEM
421
//
422
wire                    qmemdmmu_err_qmem;
423
wire    [3:0]            qmemdmmu_tag_qmem;
424
wire    [aw-1:0] qmemdmmu_adr_dmmu;
425
wire                    qmemdmmu_cycstb_dmmu;
426
wire                    qmemdmmu_ci_dmmu;
427
 
428
//
429
// CPU and data memory subsystem
430
//
431
wire                    dc_en;
432
wire    [31:0]           dcpu_adr_cpu;
433
wire                    dcpu_cycstb_cpu;
434
wire                    dcpu_we_cpu;
435
wire    [3:0]            dcpu_sel_cpu;
436
wire    [3:0]            dcpu_tag_cpu;
437
wire    [31:0]           dcpu_dat_cpu;
438
wire    [31:0]           dcpu_dat_qmem;
439
wire                    dcpu_ack_qmem;
440
wire                    dcpu_rty_qmem;
441
wire                    dcpu_err_dmmu;
442
wire    [3:0]            dcpu_tag_dmmu;
443
 
444
//
445
// IMMU and CPU
446
//
447
wire                    immu_en;
448
wire    [31:0]           spr_dat_immu;
449
 
450
//
451
// CPU and insn memory subsystem
452
//
453
wire                    ic_en;
454
wire    [31:0]           icpu_adr_cpu;
455
wire                    icpu_cycstb_cpu;
456
wire    [3:0]            icpu_sel_cpu;
457
wire    [3:0]            icpu_tag_cpu;
458
wire    [31:0]           icpu_dat_qmem;
459
wire                    icpu_ack_qmem;
460
wire    [31:0]           icpu_adr_immu;
461
wire                    icpu_err_immu;
462
wire    [3:0]            icpu_tag_immu;
463
wire                    icpu_rty_immu;
464
 
465
//
466
// IMMU and QMEM
467
//
468
wire    [aw-1:0] qmemimmu_adr_immu;
469
wire                    qmemimmu_rty_qmem;
470
wire                    qmemimmu_err_qmem;
471
wire    [3:0]            qmemimmu_tag_qmem;
472
wire                    qmemimmu_cycstb_immu;
473
wire                    qmemimmu_ci_immu;
474
 
475
//
476
// QMEM and IC
477
//
478
wire    [aw-1:0] icqmem_adr_qmem;
479
wire                    icqmem_rty_ic;
480
wire                    icqmem_err_ic;
481
wire    [3:0]            icqmem_tag_ic;
482
wire                    icqmem_cycstb_qmem;
483
wire                    icqmem_ci_qmem;
484
wire    [31:0]           icqmem_dat_ic;
485
wire                    icqmem_ack_ic;
486
 
487
//
488
// QMEM and DC
489
//
490
wire    [aw-1:0] dcqmem_adr_qmem;
491
wire                    dcqmem_rty_dc;
492
wire                    dcqmem_err_dc;
493
wire    [3:0]            dcqmem_tag_dc;
494
wire                    dcqmem_cycstb_qmem;
495
wire                    dcqmem_ci_qmem;
496
wire    [31:0]           dcqmem_dat_dc;
497
wire    [31:0]           dcqmem_dat_qmem;
498
wire                    dcqmem_we_qmem;
499
wire    [3:0]            dcqmem_sel_qmem;
500
wire                    dcqmem_ack_dc;
501
 
502
//
503
// Connection between CPU and PIC
504
//
505
wire    [dw-1:0] spr_dat_pic;
506
wire                    pic_wakeup;
507
wire                    sig_int;
508
 
509
//
510
// Connection between CPU and PM
511
//
512
wire    [dw-1:0] spr_dat_pm;
513
 
514
//
515
// CPU and TT
516
//
517
wire    [dw-1:0] spr_dat_tt;
518
wire                    sig_tick;
519
 
520
//
521
// Debug port and caches/MMUs
522
//
523
wire    [dw-1:0] spr_dat_du;
524
wire                    du_stall;
525
wire    [dw-1:0] du_addr;
526
wire    [dw-1:0] du_dat_du;
527
wire                    du_read;
528
wire                    du_write;
529
wire    [12:0]           du_except;
530
wire    [`OR1200_DU_DSR_WIDTH-1:0]     du_dsr;
531
wire    [dw-1:0] du_dat_cpu;
532
wire                    du_hwbkpt;
533
 
534
wire                    ex_freeze;
535
wire    [31:0]           ex_insn;
536
wire    [31:0]           id_pc;
537
wire    [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
538
wire    [31:0]           spr_dat_npc;
539
wire    [31:0]           rf_dataw;
540
 
541
`ifdef OR1200_BIST
542
//
543
// RAM BIST
544
//
545
wire                    mbist_immu_so;
546
wire                    mbist_ic_so;
547
wire                    mbist_dmmu_so;
548
wire                    mbist_dc_so;
549
wire      mbist_qmem_so;
550
wire                    mbist_immu_si = mbist_si_i;
551
wire                    mbist_ic_si = mbist_immu_so;
552
wire                    mbist_qmem_si = mbist_ic_so;
553
wire                    mbist_dmmu_si = mbist_qmem_so;
554
wire                    mbist_dc_si = mbist_dmmu_so;
555
assign                  mbist_so_o = mbist_dc_so;
556
`endif
557
 
558
wire  [3:0] icqmem_sel_qmem;
559
wire  [3:0] icqmem_tag_qmem;
560
wire  [3:0] dcqmem_tag_qmem;
561
 
562
//
563
// Instantiation of Instruction WISHBONE BIU
564
//
565
or1200_iwb_biu_cm4 iwb_biu(
566
                .clk_i_cml_1(clk_i_cml_1),
567
                .clk_i_cml_2(clk_i_cml_2),
568
                .clk_i_cml_3(clk_i_cml_3),
569
        // RISC clk, rst and clock control
570
        .clk(clk_i),
571
        .rst(rst_i),
572
        .clmode(clmode_i),
573
 
574
        // WISHBONE interface
575
        .wb_clk_i(iwb_clk_i),
576
        .wb_rst_i(iwb_rst_i),
577
        .wb_ack_i(iwb_ack_i),
578
        .wb_err_i(iwb_err_i),
579
        .wb_rty_i(iwb_rty_i),
580
        .wb_dat_i(iwb_dat_i),
581
        .wb_cyc_o(iwb_cyc_o),
582
        .wb_adr_o(iwb_adr_o),
583
        .wb_stb_o(iwb_stb_o),
584
        .wb_we_o(iwb_we_o),
585
        .wb_sel_o(iwb_sel_o),
586
        .wb_dat_o(iwb_dat_o),
587
`ifdef OR1200_WB_CAB
588
        .wb_cab_o(iwb_cab_o),
589
`endif
590
`ifdef OR1200_WB_B3
591
        .wb_cti_o(iwb_cti_o),
592
        .wb_bte_o(iwb_bte_o),
593
`endif
594
 
595
        // Internal RISC bus
596
        .biu_dat_i(icbiu_dat_ic),
597
        .biu_adr_i(icbiu_adr_ic),
598
        .biu_cyc_i(icbiu_cyc_ic),
599
        .biu_stb_i(icbiu_stb_ic),
600
        .biu_we_i(icbiu_we_ic),
601
        .biu_sel_i(icbiu_sel_ic),
602
        .biu_cab_i(icbiu_cab_ic),
603
        .biu_dat_o(icbiu_dat_biu),
604
        .biu_ack_o(icbiu_ack_biu),
605
        .biu_err_o(icbiu_err_biu)
606
);
607
 
608
//
609
// Instantiation of Data WISHBONE BIU
610
//
611
or1200_wb_biu_cm4 dwb_biu(
612
                .clk_i_cml_1(clk_i_cml_1),
613
                .clk_i_cml_2(clk_i_cml_2),
614
                .clk_i_cml_3(clk_i_cml_3),
615
        // RISC clk, rst and clock control
616
        .clk(clk_i),
617
        .rst(rst_i),
618
        .clmode(clmode_i),
619
 
620
        // WISHBONE interface
621
        .wb_clk_i(dwb_clk_i),
622
        .wb_rst_i(dwb_rst_i),
623
        .wb_ack_i(dwb_ack_i),
624
        .wb_err_i(dwb_err_i),
625
        .wb_rty_i(dwb_rty_i),
626
        .wb_dat_i(dwb_dat_i),
627
        .wb_cyc_o(dwb_cyc_o),
628
        .wb_adr_o(dwb_adr_o),
629
        .wb_stb_o(dwb_stb_o),
630
        .wb_we_o(dwb_we_o),
631
        .wb_sel_o(dwb_sel_o),
632
        .wb_dat_o(dwb_dat_o),
633
`ifdef OR1200_WB_CAB
634
        .wb_cab_o(dwb_cab_o),
635
`endif
636
`ifdef OR1200_WB_B3
637
        .wb_cti_o(dwb_cti_o),
638
        .wb_bte_o(dwb_bte_o),
639
`endif
640
 
641
        // Internal RISC bus
642
        .biu_dat_i(sbbiu_dat_sb),
643
        .biu_adr_i(sbbiu_adr_sb),
644
        .biu_cyc_i(sbbiu_cyc_sb),
645
        .biu_stb_i(sbbiu_stb_sb),
646
        .biu_we_i(sbbiu_we_sb),
647
        .biu_sel_i(sbbiu_sel_sb),
648
        .biu_cab_i(sbbiu_cab_sb),
649
        .biu_dat_o(sbbiu_dat_biu),
650
        .biu_ack_o(sbbiu_ack_biu),
651
        .biu_err_o(sbbiu_err_biu)
652
);
653
 
654
//
655
// Instantiation of IMMU
656
//
657
wire spr_cs_group_immu;
658
assign spr_cs_group_immu = spr_cs[`OR1200_SPR_GROUP_IMMU];
659
or1200_immu_top_cm4 or1200_immu_top(
660
                .clk_i_cml_1(clk_i_cml_1),
661
                .clk_i_cml_2(clk_i_cml_2),
662
                .clk_i_cml_3(clk_i_cml_3),
663
                .cmls(cmls),
664
        // Rst and clk
665
        .clk(clk_i),
666
        .rst(rst_i),
667
 
668
`ifdef OR1200_BIST
669
        // RAM BIST
670
        .mbist_si_i(mbist_immu_si),
671
        .mbist_so_o(mbist_immu_so),
672
        .mbist_ctrl_i(mbist_ctrl_i),
673
`endif
674
 
675
        // CPU and IMMU
676
        .ic_en(ic_en),
677
        .immu_en(immu_en),
678
        .supv(supv),
679
        .icpu_adr_i(icpu_adr_cpu),
680
        .icpu_cycstb_i(icpu_cycstb_cpu),
681
        .icpu_adr_o(icpu_adr_immu),
682
        .icpu_tag_o(icpu_tag_immu),
683
        .icpu_rty_o(icpu_rty_immu),
684
        .icpu_err_o(icpu_err_immu),
685
 
686
        // SPR access
687
        .spr_cs(spr_cs_group_immu),
688
        .spr_write(spr_we),
689
        .spr_addr(spr_addr),
690
        .spr_dat_i(spr_dat_cpu),
691
        .spr_dat_o(spr_dat_immu),
692
 
693
        // QMEM and IMMU
694
        .qmemimmu_rty_i(qmemimmu_rty_qmem),
695
        .qmemimmu_err_i(qmemimmu_err_qmem),
696
        .qmemimmu_tag_i(qmemimmu_tag_qmem),
697
        .qmemimmu_adr_o(qmemimmu_adr_immu),
698
        .qmemimmu_cycstb_o(qmemimmu_cycstb_immu),
699
        .qmemimmu_ci_o(qmemimmu_ci_immu)
700
);
701
 
702
//
703
// Instantiation of Instruction Cache
704
//
705
wire spr_cs_group_ic;
706
 
707
// SynEDA CoreMultiplier
708
// assignment(s): spr_cs_group_ic
709
// replace(s): spr_cs
710
assign spr_cs_group_ic = spr_cs_cml_3[`OR1200_SPR_GROUP_IC];
711
or1200_ic_top_cm4 or1200_ic_top(
712
                .clk_i_cml_1(clk_i_cml_1),
713
                .clk_i_cml_2(clk_i_cml_2),
714
                .clk_i_cml_3(clk_i_cml_3),
715
                .cmls(cmls),
716
        .clk(clk_i),
717
        .rst(rst_i),
718
 
719
`ifdef OR1200_BIST
720
        // RAM BIST
721
        .mbist_si_i(mbist_ic_si),
722
        .mbist_so_o(mbist_ic_so),
723
        .mbist_ctrl_i(mbist_ctrl_i),
724
`endif
725
 
726
        // IC and QMEM
727
        .ic_en(ic_en),
728
        .icqmem_adr_i(icqmem_adr_qmem),
729
        .icqmem_cycstb_i(icqmem_cycstb_qmem),
730
        .icqmem_ci_i(icqmem_ci_qmem),
731
        .icqmem_sel_i(icqmem_sel_qmem),
732
        .icqmem_tag_i(icqmem_tag_qmem),
733
        .icqmem_dat_o(icqmem_dat_ic),
734
        .icqmem_ack_o(icqmem_ack_ic),
735
        .icqmem_rty_o(icqmem_rty_ic),
736
        .icqmem_err_o(icqmem_err_ic),
737
        .icqmem_tag_o(icqmem_tag_ic),
738
 
739
        // SPR access
740
        .spr_cs(spr_cs_group_ic),
741
        .spr_write(spr_we),
742
        .spr_dat_i(spr_dat_cpu),
743
 
744
        // IC and BIU
745
        .icbiu_dat_o(icbiu_dat_ic),
746
        .icbiu_adr_o(icbiu_adr_ic),
747
        .icbiu_cyc_o(icbiu_cyc_ic),
748
        .icbiu_stb_o(icbiu_stb_ic),
749
        .icbiu_we_o(icbiu_we_ic),
750
        .icbiu_sel_o(icbiu_sel_ic),
751
        .icbiu_cab_o(icbiu_cab_ic),
752
        .icbiu_dat_i(icbiu_dat_biu),
753
        .icbiu_ack_i(icbiu_ack_biu),
754
        .icbiu_err_i(icbiu_err_biu)
755
);
756
 
757
//
758
// Instantiation of Instruction Cache
759
//
760
or1200_cpu_cm4 or1200_cpu(
761
                .clk_i_cml_1(clk_i_cml_1),
762
                .clk_i_cml_2(clk_i_cml_2),
763
                .clk_i_cml_3(clk_i_cml_3),
764
                .cmls(cmls),
765
        .clk(clk_i),
766
        .rst(rst_i),
767
 
768
        // Connection QMEM and IFETCHER inside CPU
769
        .ic_en(ic_en),
770
        .icpu_adr_o(icpu_adr_cpu),
771
        .icpu_cycstb_o(icpu_cycstb_cpu),
772
        .icpu_sel_o(icpu_sel_cpu),
773
        .icpu_tag_o(icpu_tag_cpu),
774
        .icpu_dat_i(icpu_dat_qmem),
775
        .icpu_ack_i(icpu_ack_qmem),
776
        .icpu_rty_i(icpu_rty_immu),
777
        .icpu_adr_i(icpu_adr_immu),
778
        .icpu_err_i(icpu_err_immu),
779
        .icpu_tag_i(icpu_tag_immu),
780
 
781
        // Connection CPU to external Debug port
782
        .ex_freeze(ex_freeze),
783
        .ex_insn(ex_insn),
784
        .id_pc(id_pc),
785
        .branch_op(branch_op),
786
        .du_stall(du_stall),
787
        .du_addr(du_addr),
788
        .du_dat_du(du_dat_du),
789
        .du_read(du_read),
790
        .du_write(du_write),
791
        .du_dsr(du_dsr),
792
        .du_except(du_except),
793
        .du_dat_cpu(du_dat_cpu),
794
        .du_hwbkpt(du_hwbkpt),
795
        .rf_dataw(rf_dataw),
796
 
797
 
798
        // Connection IMMU and CPU internally
799
        .immu_en(immu_en),
800
 
801
        // Connection QMEM and CPU
802
        .dc_en(dc_en),
803
        .dcpu_adr_o(dcpu_adr_cpu),
804
        .dcpu_cycstb_o(dcpu_cycstb_cpu),
805
        .dcpu_we_o(dcpu_we_cpu),
806
        .dcpu_sel_o(dcpu_sel_cpu),
807
        .dcpu_tag_o(dcpu_tag_cpu),
808
        .dcpu_dat_o(dcpu_dat_cpu),
809
        .dcpu_dat_i(dcpu_dat_qmem),
810
        .dcpu_ack_i(dcpu_ack_qmem),
811
        .dcpu_rty_i(dcpu_rty_qmem),
812
        .dcpu_err_i(dcpu_err_dmmu),
813
        .dcpu_tag_i(dcpu_tag_dmmu),
814
 
815
        // Connection DMMU and CPU internally
816
        .dmmu_en(dmmu_en),
817
 
818
        // Connection PIC and CPU's EXCEPT
819
        .sig_int(sig_int),
820
        .sig_tick(sig_tick),
821
 
822
        // SPRs
823
        .supv(supv),
824
        .spr_addr(spr_addr),
825
        .spr_dat_cpu(spr_dat_cpu),
826
        .spr_dat_pic(spr_dat_pic),
827
        .spr_dat_tt(spr_dat_tt),
828
        .spr_dat_pm(spr_dat_pm),
829
        .spr_dat_dmmu(spr_dat_dmmu),
830
        .spr_dat_immu(spr_dat_immu),
831
        .spr_dat_du(spr_dat_du),
832
        .spr_dat_npc(spr_dat_npc),
833
        .spr_cs(spr_cs),
834
        .spr_we(spr_we)
835
);
836
 
837
//
838
// Instantiation of DMMU
839
//
840
wire spr_cs_group_dmmu;
841
assign spr_cs_group_dmmu = spr_cs[`OR1200_SPR_GROUP_DMMU];
842
or1200_dmmu_top_cm4 or1200_dmmu_top(
843
                .clk_i_cml_1(clk_i_cml_1),
844
                .clk_i_cml_2(clk_i_cml_2),
845
                .clk_i_cml_3(clk_i_cml_3),
846
                .cmls(cmls),
847
        // Rst and clk
848
        .clk(clk_i),
849
        .rst(rst_i),
850
 
851
`ifdef OR1200_BIST
852
        // RAM BIST
853
        .mbist_si_i(mbist_dmmu_si),
854
        .mbist_so_o(mbist_dmmu_so),
855
        .mbist_ctrl_i(mbist_ctrl_i),
856
`endif
857
 
858
        // CPU i/f
859
        .dc_en(dc_en),
860
        .dmmu_en(dmmu_en),
861
        .supv(supv),
862
        .dcpu_adr_i(dcpu_adr_cpu),
863
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
864
        .dcpu_we_i(dcpu_we_cpu),
865
        .dcpu_tag_o(dcpu_tag_dmmu),
866
        .dcpu_err_o(dcpu_err_dmmu),
867
 
868
        // SPR access
869
        .spr_cs(spr_cs_group_dmmu),
870
        .spr_write(spr_we),
871
        .spr_addr(spr_addr),
872
        .spr_dat_i(spr_dat_cpu),
873
        .spr_dat_o(spr_dat_dmmu),
874
 
875
        // QMEM and DMMU
876
        .qmemdmmu_err_i(qmemdmmu_err_qmem),
877
        .qmemdmmu_tag_i(qmemdmmu_tag_qmem),
878
        .qmemdmmu_adr_o(qmemdmmu_adr_dmmu),
879
        .qmemdmmu_cycstb_o(qmemdmmu_cycstb_dmmu),
880
        .qmemdmmu_ci_o(qmemdmmu_ci_dmmu)
881
);
882
 
883
//
884
// Instantiation of Data Cache
885
//
886
wire spr_cs_group_dc;
887
 
888
// SynEDA CoreMultiplier
889
// assignment(s): spr_cs_group_dc
890
// replace(s): spr_cs
891
assign spr_cs_group_dc = spr_cs_cml_2[`OR1200_SPR_GROUP_DC];
892
or1200_dc_top_cm4 or1200_dc_top(
893
                .clk_i_cml_1(clk_i_cml_1),
894
                .clk_i_cml_2(clk_i_cml_2),
895
                .clk_i_cml_3(clk_i_cml_3),
896
                .cmls(cmls),
897
        .clk(clk_i),
898
        .rst(rst_i),
899
 
900
`ifdef OR1200_BIST
901
        // RAM BIST
902
        .mbist_si_i(mbist_dc_si),
903
        .mbist_so_o(mbist_dc_so),
904
        .mbist_ctrl_i(mbist_ctrl_i),
905
`endif
906
 
907
        // DC and QMEM
908
        .dc_en(dc_en),
909
        .dcqmem_adr_i(dcqmem_adr_qmem),
910
        .dcqmem_cycstb_i(dcqmem_cycstb_qmem),
911
        .dcqmem_ci_i(dcqmem_ci_qmem),
912
        .dcqmem_we_i(dcqmem_we_qmem),
913
        .dcqmem_sel_i(dcqmem_sel_qmem),
914
        .dcqmem_tag_i(dcqmem_tag_qmem),
915
        .dcqmem_dat_i(dcqmem_dat_qmem),
916
        .dcqmem_dat_o(dcqmem_dat_dc),
917
        .dcqmem_ack_o(dcqmem_ack_dc),
918
        .dcqmem_rty_o(dcqmem_rty_dc),
919
        .dcqmem_err_o(dcqmem_err_dc),
920
        .dcqmem_tag_o(dcqmem_tag_dc),
921
 
922
        // SPR access
923
        .spr_cs(spr_cs_group_dc),
924
        .spr_write(spr_we),
925
        .spr_dat_i(spr_dat_cpu),
926
 
927
        // DC and BIU
928
        .dcsb_dat_o(dcsb_dat_dc),
929
        .dcsb_adr_o(dcsb_adr_dc),
930
        .dcsb_cyc_o(dcsb_cyc_dc),
931
        .dcsb_stb_o(dcsb_stb_dc),
932
        .dcsb_we_o(dcsb_we_dc),
933
        .dcsb_sel_o(dcsb_sel_dc),
934
        .dcsb_cab_o(dcsb_cab_dc),
935
        .dcsb_dat_i(dcsb_dat_sb),
936
        .dcsb_ack_i(dcsb_ack_sb),
937
        .dcsb_err_i(dcsb_err_sb)
938
);
939
 
940
//
941
// Instantiation of embedded memory - qmem
942
//
943
or1200_qmem_top_cm4 or1200_qmem_top(
944
                .clk_i_cml_1(clk_i_cml_1),
945
                .clk_i_cml_2(clk_i_cml_2),
946
                .clk_i_cml_3(clk_i_cml_3),
947
        .clk(clk_i),
948
        .rst(rst_i),
949
 
950
`ifdef OR1200_BIST
951
        // RAM BIST
952
        .mbist_si_i(mbist_qmem_si),
953
        .mbist_so_o(mbist_qmem_so),
954
        .mbist_ctrl_i(mbist_ctrl_i),
955
`endif
956
 
957
        // QMEM and CPU/IMMU
958
        .qmemimmu_adr_i(qmemimmu_adr_immu),
959
        .qmemimmu_cycstb_i(qmemimmu_cycstb_immu),
960
        .qmemimmu_ci_i(qmemimmu_ci_immu),
961
        .qmemicpu_sel_i(icpu_sel_cpu),
962
        .qmemicpu_tag_i(icpu_tag_cpu),
963
        .qmemicpu_dat_o(icpu_dat_qmem),
964
        .qmemicpu_ack_o(icpu_ack_qmem),
965
        .qmemimmu_rty_o(qmemimmu_rty_qmem),
966
        .qmemimmu_err_o(qmemimmu_err_qmem),
967
        .qmemimmu_tag_o(qmemimmu_tag_qmem),
968
 
969
        // QMEM and IC
970
        .icqmem_adr_o(icqmem_adr_qmem),
971
        .icqmem_cycstb_o(icqmem_cycstb_qmem),
972
        .icqmem_ci_o(icqmem_ci_qmem),
973
        .icqmem_sel_o(icqmem_sel_qmem),
974
        .icqmem_tag_o(icqmem_tag_qmem),
975
        .icqmem_dat_i(icqmem_dat_ic),
976
        .icqmem_ack_i(icqmem_ack_ic),
977
        .icqmem_rty_i(icqmem_rty_ic),
978
        .icqmem_err_i(icqmem_err_ic),
979
        .icqmem_tag_i(icqmem_tag_ic),
980
 
981
        // QMEM and CPU/DMMU
982
        .qmemdmmu_adr_i(qmemdmmu_adr_dmmu),
983
        .qmemdmmu_cycstb_i(qmemdmmu_cycstb_dmmu),
984
        .qmemdmmu_ci_i(qmemdmmu_ci_dmmu),
985
        .qmemdcpu_we_i(dcpu_we_cpu),
986
        .qmemdcpu_sel_i(dcpu_sel_cpu),
987
        .qmemdcpu_tag_i(dcpu_tag_cpu),
988
        .qmemdcpu_dat_i(dcpu_dat_cpu),
989
        .qmemdcpu_dat_o(dcpu_dat_qmem),
990
        .qmemdcpu_ack_o(dcpu_ack_qmem),
991
        .qmemdcpu_rty_o(dcpu_rty_qmem),
992
        .qmemdmmu_err_o(qmemdmmu_err_qmem),
993
        .qmemdmmu_tag_o(qmemdmmu_tag_qmem),
994
 
995
        // QMEM and DC
996
        .dcqmem_adr_o(dcqmem_adr_qmem),
997
        .dcqmem_cycstb_o(dcqmem_cycstb_qmem),
998
        .dcqmem_ci_o(dcqmem_ci_qmem),
999
        .dcqmem_we_o(dcqmem_we_qmem),
1000
        .dcqmem_sel_o(dcqmem_sel_qmem),
1001
        .dcqmem_tag_o(dcqmem_tag_qmem),
1002
        .dcqmem_dat_o(dcqmem_dat_qmem),
1003
        .dcqmem_dat_i(dcqmem_dat_dc),
1004
        .dcqmem_ack_i(dcqmem_ack_dc),
1005
        .dcqmem_rty_i(dcqmem_rty_dc),
1006
        .dcqmem_err_i(dcqmem_err_dc),
1007
        .dcqmem_tag_i(dcqmem_tag_dc)
1008
);
1009
 
1010
//
1011
// Instantiation of Store Buffer
1012
//
1013
or1200_sb_cm4 or1200_sb(
1014
        // RISC clock, reset
1015
        .clk(clk_i),
1016
        .rst(rst_i),
1017
 
1018
        // Internal RISC bus (DC<->SB)
1019
        .dcsb_dat_i(dcsb_dat_dc),
1020
        .dcsb_adr_i(dcsb_adr_dc),
1021
        .dcsb_cyc_i(dcsb_cyc_dc),
1022
        .dcsb_stb_i(dcsb_stb_dc),
1023
        .dcsb_we_i(dcsb_we_dc),
1024
        .dcsb_sel_i(dcsb_sel_dc),
1025
        .dcsb_cab_i(dcsb_cab_dc),
1026
        .dcsb_dat_o(dcsb_dat_sb),
1027
        .dcsb_ack_o(dcsb_ack_sb),
1028
        .dcsb_err_o(dcsb_err_sb),
1029
 
1030
        // SB and BIU
1031
        .sbbiu_dat_o(sbbiu_dat_sb),
1032
        .sbbiu_adr_o(sbbiu_adr_sb),
1033
        .sbbiu_cyc_o(sbbiu_cyc_sb),
1034
        .sbbiu_stb_o(sbbiu_stb_sb),
1035
        .sbbiu_we_o(sbbiu_we_sb),
1036
        .sbbiu_sel_o(sbbiu_sel_sb),
1037
        .sbbiu_cab_o(sbbiu_cab_sb),
1038
        .sbbiu_dat_i(sbbiu_dat_biu),
1039
        .sbbiu_ack_i(sbbiu_ack_biu),
1040
        .sbbiu_err_i(sbbiu_err_biu)
1041
);
1042
 
1043
//
1044
// Instantiation of Debug Unit
1045
//
1046
wire spr_cs_group_du;
1047
 
1048
// SynEDA CoreMultiplier
1049
// assignment(s): spr_cs_group_du
1050
// replace(s): spr_cs
1051
assign spr_cs_group_du = spr_cs_cml_3[`OR1200_SPR_GROUP_DU];
1052
or1200_du_cm4 or1200_du(
1053
                .clk_i_cml_1(clk_i_cml_1),
1054
                .clk_i_cml_2(clk_i_cml_2),
1055
                .clk_i_cml_3(clk_i_cml_3),
1056
        // RISC Internal Interface
1057
        .clk(clk_i),
1058
        .rst(rst_i),
1059
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
1060
        .dcpu_we_i(dcpu_we_cpu),
1061
        .dcpu_adr_i(dcpu_adr_cpu),
1062
        .dcpu_dat_lsu(dcpu_dat_cpu),
1063
        .dcpu_dat_dc(dcpu_dat_qmem),
1064
        .icpu_cycstb_i(icpu_cycstb_cpu),
1065
        .ex_freeze(ex_freeze),
1066
        .branch_op(branch_op),
1067
        .ex_insn(ex_insn),
1068
        .id_pc(id_pc),
1069
        .du_dsr(du_dsr),
1070
 
1071
        // For Trace buffer
1072
        .spr_dat_npc(spr_dat_npc),
1073
        .rf_dataw(rf_dataw),
1074
 
1075
        // DU's access to SPR unit
1076
        .du_stall(du_stall),
1077
        .du_addr(du_addr),
1078
        .du_dat_i(du_dat_cpu),
1079
        .du_dat_o(du_dat_du),
1080
        .du_read(du_read),
1081
        .du_write(du_write),
1082
        .du_except(du_except),
1083
        .du_hwbkpt(du_hwbkpt),
1084
 
1085
        // Access to DU's SPRs
1086
        .spr_cs(spr_cs_group_du),
1087
        .spr_write(spr_we),
1088
        .spr_addr(spr_addr),
1089
        .spr_dat_i(spr_dat_cpu),
1090
        .spr_dat_o(spr_dat_du),
1091
 
1092
        // External Debug Interface
1093
        .dbg_stall_i(dbg_stall_i),
1094
        .dbg_ewt_i(dbg_ewt_i),
1095
        .dbg_lss_o(dbg_lss_o),
1096
        .dbg_is_o(dbg_is_o),
1097
        .dbg_wp_o(dbg_wp_o),
1098
        .dbg_bp_o(dbg_bp_o),
1099
        .dbg_stb_i(dbg_stb_i),
1100
        .dbg_we_i(dbg_we_i),
1101
        .dbg_adr_i(dbg_adr_i),
1102
        .dbg_dat_i(dbg_dat_i),
1103
        .dbg_dat_o(dbg_dat_o),
1104
        .dbg_ack_o(dbg_ack_o)
1105
);
1106
 
1107
//
1108
// Programmable interrupt controller
1109
//
1110
wire spr_cs_group_pic;
1111
 
1112
// SynEDA CoreMultiplier
1113
// assignment(s): spr_cs_group_pic
1114
// replace(s): spr_cs
1115
assign spr_cs_group_pic = spr_cs_cml_3[`OR1200_SPR_GROUP_PIC];
1116
or1200_pic_cm4 or1200_pic(
1117
                .clk_i_cml_1(clk_i_cml_1),
1118
                .clk_i_cml_2(clk_i_cml_2),
1119
                .clk_i_cml_3(clk_i_cml_3),
1120
        // RISC Internal Interface
1121
        .clk(clk_i),
1122
        .rst(rst_i),
1123
        .spr_cs(spr_cs_group_pic),
1124
        .spr_write(spr_we),
1125
        .spr_addr(spr_addr),
1126
        .spr_dat_i(spr_dat_cpu),
1127
        .spr_dat_o(spr_dat_pic),
1128
        .pic_wakeup(pic_wakeup),
1129
        .intr(sig_int),
1130
 
1131
        // PIC Interface
1132
        .pic_int(pic_ints_i)
1133
);
1134
 
1135
//
1136
// Instantiation of Tick timer
1137
//
1138
wire spr_cs_group_tt;
1139
 
1140
// SynEDA CoreMultiplier
1141
// assignment(s): spr_cs_group_tt
1142
// replace(s): spr_cs
1143
assign spr_cs_group_tt = spr_cs_cml_3[`OR1200_SPR_GROUP_TT];
1144
or1200_tt_cm4 or1200_tt(
1145
                .clk_i_cml_1(clk_i_cml_1),
1146
                .clk_i_cml_2(clk_i_cml_2),
1147
                .clk_i_cml_3(clk_i_cml_3),
1148
        // RISC Internal Interface
1149
        .clk(clk_i),
1150
        .rst(rst_i),
1151
        .du_stall(du_stall),
1152
        .spr_cs(spr_cs_group_tt),
1153
        .spr_write(spr_we),
1154
        .spr_addr(spr_addr),
1155
        .spr_dat_i(spr_dat_cpu),
1156
        .spr_dat_o(spr_dat_tt),
1157
        .intr(sig_tick)
1158
);
1159
 
1160
//
1161
// Instantiation of Power Management
1162
//
1163
or1200_pm_cm4 or1200_pm(
1164
                .clk_i_cml_1(clk_i_cml_1),
1165
                .clk_i_cml_2(clk_i_cml_2),
1166
                .clk_i_cml_3(clk_i_cml_3),
1167
        // RISC Internal Interface
1168
        .clk(clk_i),
1169
        .rst(rst_i),
1170
        .pic_wakeup(pic_wakeup),
1171
        .spr_write(spr_we),
1172
        .spr_addr(spr_addr),
1173
        .spr_dat_i(spr_dat_cpu),
1174
        .spr_dat_o(spr_dat_pm),
1175
 
1176
        // Power Management Interface
1177
        .pm_cpustall(pm_cpustall_i),
1178
        .pm_clksd(pm_clksd_o),
1179
        .pm_dc_gate(pm_dc_gate_o),
1180
        .pm_ic_gate(pm_ic_gate_o),
1181
        .pm_dmmu_gate(pm_dmmu_gate_o),
1182
        .pm_immu_gate(pm_immu_gate_o),
1183
        .pm_tt_gate(pm_tt_gate_o),
1184
        .pm_cpu_gate(pm_cpu_gate_o),
1185
        .pm_wakeup(pm_wakeup_o),
1186
        .pm_lvolt(pm_lvolt_o)
1187
);
1188
 
1189
 
1190
 
1191
always @ (posedge clk_i_cml_1) begin
1192
iwb_cyc_o_cml_1 <= iwb_cyc_o;
1193
iwb_adr_o_cml_1 <= iwb_adr_o;
1194
iwb_stb_o_cml_1 <= iwb_stb_o;
1195
iwb_we_o_cml_1 <= iwb_we_o;
1196
iwb_sel_o_cml_1 <= iwb_sel_o;
1197
iwb_dat_o_cml_1 <= iwb_dat_o;
1198
iwb_cab_o_cml_1 <= iwb_cab_o;
1199
dwb_cyc_o_cml_1 <= dwb_cyc_o;
1200
dwb_adr_o_cml_1 <= dwb_adr_o;
1201
dwb_stb_o_cml_1 <= dwb_stb_o;
1202
dwb_we_o_cml_1 <= dwb_we_o;
1203
dwb_sel_o_cml_1 <= dwb_sel_o;
1204
dwb_dat_o_cml_1 <= dwb_dat_o;
1205
dwb_cab_o_cml_1 <= dwb_cab_o;
1206
dbg_is_o_cml_1 <= dbg_is_o;
1207
dbg_ack_o_cml_1 <= dbg_ack_o;
1208
spr_cs_cml_1 <= spr_cs;
1209
end
1210
always @ (posedge clk_i_cml_2) begin
1211
iwb_cyc_o_cml_2 <= iwb_cyc_o_cml_1;
1212
iwb_adr_o_cml_2 <= iwb_adr_o_cml_1;
1213
iwb_stb_o_cml_2 <= iwb_stb_o_cml_1;
1214
iwb_we_o_cml_2 <= iwb_we_o_cml_1;
1215
iwb_sel_o_cml_2 <= iwb_sel_o_cml_1;
1216
iwb_dat_o_cml_2 <= iwb_dat_o_cml_1;
1217
iwb_cab_o_cml_2 <= iwb_cab_o_cml_1;
1218
dwb_cyc_o_cml_2 <= dwb_cyc_o_cml_1;
1219
dwb_adr_o_cml_2 <= dwb_adr_o_cml_1;
1220
dwb_stb_o_cml_2 <= dwb_stb_o_cml_1;
1221
dwb_we_o_cml_2 <= dwb_we_o_cml_1;
1222
dwb_sel_o_cml_2 <= dwb_sel_o_cml_1;
1223
dwb_dat_o_cml_2 <= dwb_dat_o_cml_1;
1224
dwb_cab_o_cml_2 <= dwb_cab_o_cml_1;
1225
dbg_is_o_cml_2 <= dbg_is_o_cml_1;
1226
dbg_ack_o_cml_2 <= dbg_ack_o_cml_1;
1227
spr_cs_cml_2 <= spr_cs_cml_1;
1228
end
1229
always @ (posedge clk_i_cml_3) begin
1230
iwb_cyc_o_cml_3 <= iwb_cyc_o_cml_2;
1231
iwb_adr_o_cml_3 <= iwb_adr_o_cml_2;
1232
iwb_stb_o_cml_3 <= iwb_stb_o_cml_2;
1233
iwb_we_o_cml_3 <= iwb_we_o_cml_2;
1234
iwb_sel_o_cml_3 <= iwb_sel_o_cml_2;
1235
iwb_dat_o_cml_3 <= iwb_dat_o_cml_2;
1236
iwb_cab_o_cml_3 <= iwb_cab_o_cml_2;
1237
dwb_cyc_o_cml_3 <= dwb_cyc_o_cml_2;
1238
dwb_adr_o_cml_3 <= dwb_adr_o_cml_2;
1239
dwb_stb_o_cml_3 <= dwb_stb_o_cml_2;
1240
dwb_we_o_cml_3 <= dwb_we_o_cml_2;
1241
dwb_sel_o_cml_3 <= dwb_sel_o_cml_2;
1242
dwb_dat_o_cml_3 <= dwb_dat_o_cml_2;
1243
dwb_cab_o_cml_3 <= dwb_cab_o_cml_2;
1244
dbg_is_o_cml_3 <= dbg_is_o_cml_2;
1245
dbg_ack_o_cml_3 <= dbg_ack_o_cml_2;
1246
spr_cs_cml_3 <= spr_cs_cml_2;
1247
end
1248
endmodule
1249
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.