OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_virtex_cm3/] [verilog/] [itlb_tr_sub.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file itlb_tr_blk.v when simulating
34
// the core, itlb_tr_blk. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module itlb_tr_sub_cm3(
41
                clk_i_cml_1,
42
                clk_i_cml_2,
43
                cmls,
44
 
45
        clka,
46
        ena,
47
        wea,
48
        addra,
49
        dina,
50
        clkb,
51
        addrb,
52
        doutb);
53
 
54
 
55
input clk_i_cml_1;
56
input clk_i_cml_2;
57
input [1:0] cmls;
58
 
59
 
60
 
61
 
62
input clka;
63
input ena;
64
input [0 : 0] wea;
65
input [5 : 0] addra;
66
input [21 : 0] dina;
67
input clkb;
68
input [5 : 0] addrb;
69
output [21 : 0] doutb;
70
 
71
wire ena_wire;
72
wire [0 : 0] wea_wire;
73
wire [5 : 0] addra_wire;
74
wire [21 : 0] dina_wire;
75
wire [5 : 0] addrb_wire;
76
 
77
assign ena_wire = ena;
78
assign wea_wire = wea;
79
assign addra_wire = addra;
80
assign dina_wire = dina;
81
assign addrb_wire = addrb;
82
 
83
itlb_tr_blk_cm3 itlb_tr_blki(
84
        .clka(clka),
85
        .ena(ena_wire),
86
        .wea(wea_wire),
87
        .addra({cmls, addra_wire}),
88
        .dina(dina_wire),
89
        .clkb(clkb),
90
        .addrb({cmls, addrb_wire}),
91
        .doutb(doutb));
92
 
93
endmodule
94
 
95
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.