OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_virtex_cm3/] [verilog/] [rf_sub.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file rf_blk.v when simulating
34
// the core, rf_blk. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
 
41
module rf_sub_cm3_22(
42
                clk_i_cml_2,
43
                cmls,
44
 
45
        a,
46
        d,
47
        dpra,
48
        clk,
49
        we,
50
        spo,
51
        dpo);
52
 
53
 
54
input clk_i_cml_2;
55
input [1:0] cmls;
56
 
57
reg [ 4 : 0 ] a_cml_2;
58
 
59
 
60
 
61
input [4 : 0] a;
62
input [31 : 0] d;
63
input [4 : 0] dpra;
64
input clk;
65
input we;
66
output [31 : 0] spo;
67
output [31 : 0] dpo;
68
 
69
rf_dist_cm3 rf_disti(
70
        .a({cmls, a_cml_2}),
71
        .d(d),
72
        .dpra({cmls, dpra}),
73
        .clk(clk),
74
        .we(we),
75
        .spo(spo),
76
        .dpo(dpo));
77
 
78
always @ (posedge clk_i_cml_2) begin
79
a_cml_2 <= a;
80
end
81
 
82
endmodule
83
 
84
module rf_sub_cm3_24(
85
                clk_i_cml_2,
86
                cmls,
87
 
88
        a,
89
        d,
90
        dpra,
91
        clk,
92
        we,
93
        spo,
94
        dpo);
95
 
96
 
97
input clk_i_cml_2;
98
input [1:0] cmls;
99
 
100
reg [ 4 : 0 ] a_cml_2;
101
 
102
 
103
 
104
input [4 : 0] a;
105
input [31 : 0] d;
106
input [4 : 0] dpra;
107
input clk;
108
input we;
109
output [31 : 0] spo;
110
output [31 : 0] dpo;
111
 
112
rf_dist_cm3 rf_disti(
113
        .a({cmls, a_cml_2}),
114
        .d(d),
115
        .dpra({cmls, dpra}),
116
        .clk(clk),
117
        .we(we),
118
        .spo(spo),
119
        .dpo(dpo));
120
 
121
always @ (posedge clk_i_cml_2) begin
122
a_cml_2 <= a;
123
end
124
 
125
endmodule
126
 
127
 
128
module rf_sub_cm3(
129
                cmls,
130
 
131
        a,
132
        d,
133
        dpra,
134
        clk,
135
        we,
136
        spo,
137
        dpo);
138
 
139
 
140
input [1:0] cmls;
141
 
142
 
143
 
144
 
145
input [4 : 0] a;
146
input [31 : 0] d;
147
input [4 : 0] dpra;
148
input clk;
149
input we;
150
output [31 : 0] spo;
151
output [31 : 0] dpo;
152
 
153
rf_dist_cm3 rf_disti(
154
        .a(a),
155
        .d(d),
156
        .dpra(dpra),
157
        .clk(clk),
158
        .we(we),
159
        .spo(spo),
160
        .dpo(dpo));
161
 
162
 
163
endmodule
164
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.