OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_virtex_cm4/] [verilog/] [rf_sub.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file rf_blk.v when simulating
34
// the core, rf_blk. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module rf_sub_cm4_24(
41
                clk_i_cml_3,
42
                cmls,
43
 
44
        a,
45
        d,
46
        dpra,
47
        clk,
48
        we,
49
        spo,
50
        dpo);
51
 
52
 
53
input clk_i_cml_3;
54
input [1:0] cmls;
55
 
56
reg [ 4 : 0 ] a_cml_3;
57
 
58
 
59
 
60
input [4 : 0] a;
61
input [31 : 0] d;
62
input [4 : 0] dpra;
63
input clk;
64
input we;
65
output [31 : 0] spo;
66
output [31 : 0] dpo;
67
 
68
rf_dist_cm4 rf_disti(
69
        .a({cmls, a_cml_3}),
70
        .d(d),
71
        .dpra({cmls, dpra}),
72
        .clk(clk),
73
        .we(we),
74
        .spo(spo),
75
        .dpo(dpo));
76
 
77
always @ (posedge clk_i_cml_3) begin
78
a_cml_3 <= a;
79
end
80
 
81
endmodule
82
 
83
module rf_sub_cm4_22(
84
                clk_i_cml_3,
85
                cmls,
86
 
87
        a,
88
        d,
89
        dpra,
90
        clk,
91
        we,
92
        spo,
93
        dpo);
94
 
95
 
96
input clk_i_cml_3;
97
input [1:0] cmls;
98
 
99
reg [ 4 : 0 ] a_cml_3;
100
 
101
 
102
 
103
input [4 : 0] a;
104
input [31 : 0] d;
105
input [4 : 0] dpra;
106
input clk;
107
input we;
108
output [31 : 0] spo;
109
output [31 : 0] dpo;
110
 
111
rf_dist_cm4 rf_disti(
112
        .a({cmls, a_cml_3}),
113
        .d(d),
114
        .dpra({cmls, dpra}),
115
        .clk(clk),
116
        .we(we),
117
        .spo(spo),
118
        .dpo(dpo));
119
 
120
always @ (posedge clk_i_cml_3) begin
121
a_cml_3 <= a;
122
end
123
 
124
endmodule
125
 
126
module rf_sub_cm4(
127
                cmls,
128
 
129
        a,
130
        d,
131
        dpra,
132
        clk,
133
        we,
134
        spo,
135
        dpo);
136
 
137
 
138
input [1:0] cmls;
139
 
140
 
141
 
142
input [4 : 0] a;
143
input [31 : 0] d;
144
input [4 : 0] dpra;
145
input clk;
146
input we;
147
output [31 : 0] spo;
148
output [31 : 0] dpo;
149
 
150
rf_dist_cm4 rf_disti(
151
        .a({cmls, a}),
152
        .d(d),
153
        .dpra({cmls, dpra}),
154
        .clk(clk),
155
        .we(we),
156
        .spo(spo),
157
        .dpo(dpo));
158
 
159
endmodule
160
 
161
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.