OpenCores
URL https://opencores.org/ocsvn/or1200_hp/or1200_hp/trunk

Subversion Repositories or1200_hp

[/] [or1200_hp/] [trunk/] [rtl/] [rtl_virtex_orig/] [verilog/] [rf_dist_xil.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file rf_dist.v when simulating
34
// the core, rf_dist. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
// synopsys translate_off
39
`include "timescale.v"
40
// synopsys translate_on
41
 
42
`include "or1200_defines.v"
43
 
44
module rf_dist(
45
        a,
46
        d,
47
        dpra,
48
        clk,
49
        we,
50
        spo,
51
        dpo);
52
 
53
 
54
input [4 : 0] a;
55
input [31 : 0] d;
56
input [4 : 0] dpra;
57
input clk;
58
input we;
59
output [31 : 0] spo;
60
output [31 : 0] dpo;
61
 
62
// synthesis translate_off
63
 
64
      DIST_MEM_GEN_V4_1 #(
65
                .C_ADDR_WIDTH(5),
66
                .C_DEFAULT_DATA("0"),
67
                .C_DEPTH(32),
68
                .C_HAS_CLK(1),
69
                .C_HAS_D(1),
70
                .C_HAS_DPO(1),
71
                .C_HAS_DPRA(1),
72
                .C_HAS_I_CE(0),
73
                .C_HAS_QDPO(0),
74
                .C_HAS_QDPO_CE(0),
75
                .C_HAS_QDPO_CLK(0),
76
                .C_HAS_QDPO_RST(0),
77
                .C_HAS_QDPO_SRST(0),
78
                .C_HAS_QSPO(0),
79
                .C_HAS_QSPO_CE(0),
80
                .C_HAS_QSPO_RST(0),
81
                .C_HAS_QSPO_SRST(0),
82
                .C_HAS_SPO(1),
83
                .C_HAS_SPRA(0),
84
                .C_HAS_WE(1),
85
                .C_MEM_INIT_FILE("rf_dist.mif"),
86
                .C_MEM_TYPE(2),
87
                .C_PARSER_TYPE(1),
88
                .C_PIPELINE_STAGES(0),
89
                .C_QCE_JOINED(0),
90
                .C_QUALIFY_WE(0),
91
                .C_READ_MIF(1),
92
                .C_REG_A_D_INPUTS(0),
93
                .C_REG_DPRA_INPUT(0),
94
                .C_SYNC_ENABLE(1),
95
                .C_WIDTH(32))
96
        inst (
97
                .A(a),
98
                .D(d),
99
                .DPRA(dpra),
100
                .CLK(clk),
101
                .WE(we),
102
                .SPO(spo),
103
                .DPO(dpo),
104
                .SPRA(),
105
                .I_CE(),
106
                .QSPO_CE(),
107
                .QDPO_CE(),
108
                .QDPO_CLK(),
109
                .QSPO_RST(),
110
                .QDPO_RST(),
111
                .QSPO_SRST(),
112
                .QDPO_SRST(),
113
                .QSPO(),
114
                .QDPO());
115
 
116
 
117
// synthesis translate_on
118
 
119
 
120
endmodule
121
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.