OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sim/] [tests/] [debug/] [debug.mpf] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 qaztronic
; Copyright 1991-2007 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Xilinx Primitive Libraries
20
;
21
; VHDL Section
22
; unisim = $MODEL_TECH/../xilinx/vhdl/unisim
23
; unimacro = $MODEL_TECH/../xilinx/vhdl/unimacro
24
; simprim = $MODEL_TECH/../xilinx/vhdl/simprim
25
; xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib
26
; aim = $MODEL_TECH/../xilinx/vhdl/aim
27
; pls = $MODEL_TECH/../xilinx/vhdl/pls
28
; cpld = $MODEL_TECH/../xilinx/vhdl/cpld
29
 
30
; Verilog Section
31
; unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver
32
; unimacro_ver = $MODEL_TECH/../xilinx/verilog/unimacro_ver
33
; uni9000_ver = $MODEL_TECH/../xilinx/verilog/uni9000_ver
34
; simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver
35
; xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver
36
; aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver
37
; cpld_ver = $MODEL_TECH/../xilinx/verilog/cpld_ver
38
 
39
; or1200_soc libraries
40
gpio = ../../../libs/gpio
41
or1200 = ../../../libs/or1200
42
sim = ../../../libs/sim
43
uart16550 = ../../../libs/uart16550
44
wb_conmax = ../../../libs/wb_conmax
45
wb_size_bridge = ../../../libs/wb_size_bridge
46
 
47
 
48
work = work
49 24 qaztronic
adv_debug_sys = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/libs/adv_debug_sys
50
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
51 21 qaztronic
[vcom]
52
; VHDL93 variable selects language version as the default.
53
; Default is VHDL-2002.
54
; Value of 0 or 1987 for VHDL-1987.
55
; Value of 1 or 1993 for VHDL-1993.
56
; Default or value of 2 or 2002 for VHDL-2002.
57
VHDL93 = 2002
58
 
59
; Show source line containing error. Default is off.
60
; Show_source = 1
61
 
62
; Turn off unbound-component warnings. Default is on.
63
; Show_Warning1 = 0
64
 
65
; Turn off process-without-a-wait-statement warnings. Default is on.
66
; Show_Warning2 = 0
67
 
68
; Turn off null-range warnings. Default is on.
69
; Show_Warning3 = 0
70
 
71
; Turn off no-space-in-time-literal warnings. Default is on.
72
; Show_Warning4 = 0
73
 
74
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
75
; Show_Warning5 = 0
76
 
77
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
78
; Optimize_1164 = 0
79
 
80
; Turn on resolving of ambiguous function overloading in favor of the
81
; "explicit" function declaration (not the one automatically created by
82
; the compiler for each type declaration). Default is off.
83
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
84
; will match the behavior of synthesis tools.
85
Explicit = 1
86
 
87
; Turn off acceleration of the VITAL packages. Default is to accelerate.
88
; NoVital = 1
89
 
90
; Turn off VITAL compliance checking. Default is checking on.
91
; NoVitalCheck = 1
92
 
93
; Ignore VITAL compliance checking errors. Default is to not ignore.
94
; IgnoreVitalErrors = 1
95
 
96
; Turn off VITAL compliance checking warnings. Default is to show warnings.
97
; Show_VitalChecksWarnings = 0
98
 
99
; Keep silent about case statement static warnings.
100
; Default is to give a warning.
101
; NoCaseStaticError = 1
102
 
103
; Keep silent about warnings caused by aggregates that are not locally static.
104
; Default is to give a warning.
105
; NoOthersStaticError = 1
106
 
107
; Turn off inclusion of debugging info within design units.
108
; Default is to include debugging info.
109
; NoDebug = 1
110
 
111
; Turn off "Loading..." messages. Default is messages on.
112
; Quiet = 1
113
 
114
; Turn on some limited synthesis rule compliance checking. Checks only:
115
;    -- signals used (read) by a process must be in the sensitivity list
116
; CheckSynthesis = 1
117
 
118
; Activate optimizations on expressions that do not involve signals,
119
; waits, or function/procedure/task invocations. Default is off.
120
; ScalarOpts = 1
121
 
122
; Require the user to specify a configuration for all bindings,
123
; and do not generate a compile time default binding for the
124
; component. This will result in an elaboration error of
125
; 'component not bound' if the user fails to do so. Avoids the rare
126
; issue of a false dependency upon the unused default binding.
127
; RequireConfigForAllDefaultBinding = 1
128
 
129
; Inhibit range checking on subscripts of arrays. Range checking on
130
; scalars defined with subtypes is inhibited by default.
131
; NoIndexCheck = 1
132
 
133
; Inhibit range checks on all (implicit and explicit) assignments to
134
; scalar objects defined with subtypes.
135
; NoRangeCheck = 1
136
 
137
[vlog]
138
 
139
; Turn off inclusion of debugging info within design units.
140
; Default is to include debugging info.
141
; NoDebug = 1
142
 
143
; Turn off "loading..." messages. Default is messages on.
144
; Quiet = 1
145
 
146
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
147
; Default is off.
148
; Hazard = 1
149
 
150
; Turn on converting regular Verilog identifiers to uppercase. Allows case
151
; insensitivity for module names. Default is no conversion.
152
; UpCase = 1
153
 
154
; Turn on incremental compilation of modules. Default is off.
155
; Incremental = 1
156
 
157
; Turns on lint-style checking.
158
; Show_Lint = 1
159
 
160
[vsim]
161
; Simulator resolution
162
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
163 24 qaztronic
resolution = 1ps
164 21 qaztronic
 
165
; User time unit for run commands
166
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
167
; unit specified for Resolution. For example, if Resolution is 100ps,
168
; then UserTimeUnit defaults to ps.
169
; Should generally be set to default.
170
UserTimeUnit = default
171
 
172
; Default run length
173
RunLength = 20 us
174
 
175
; Maximum iterations that can be run without advancing simulation time
176
IterationLimit = 5000
177
 
178
; Directive to license manager:
179
; vhdl          Immediately reserve a VHDL license
180
; vlog          Immediately reserve a Verilog license
181
; plus          Immediately reserve a VHDL and Verilog license
182
; nomgc         Do not look for Mentor Graphics Licenses
183
; nomti         Do not look for Model Technology Licenses
184
; noqueue       Do not wait in the license queue when a license isn't available
185
; viewsim       Try for viewer license but accept simulator license(s) instead
186
;               of queuing for viewer license
187
; License = plus
188
 
189
; Stop the simulator after a VHDL/Verilog assertion message
190
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
191
BreakOnAssertion = 3
192
 
193
; Assertion Message Format
194
; %S - Severity Level
195
; %R - Report Message
196
; %T - Time of assertion
197
; %D - Delta
198
; %I - Instance or Region pathname (if available)
199
; %% - print '%' character
200
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
201
 
202
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
203
; AssertFile = assert.log
204
 
205
; Default radix for all windows and commands...
206
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
207
DefaultRadix = hexadecimal
208
 
209
; VSIM Startup command
210
; Startup = do startup.do
211
 
212
; File for saving command transcript
213
TranscriptFile = transcript.txt
214
 
215
; File for saving command history
216
; CommandHistory = cmdhist.log
217
 
218
; Specify whether paths in simulator commands should be described
219
; in VHDL or Verilog format.
220
; For VHDL, PathSeparator = /
221
; For Verilog, PathSeparator = .
222
; Must not be the same character as DatasetSeparator.
223
PathSeparator = /
224
 
225
; Specify the dataset separator for fully rooted contexts.
226
; The default is ':'. For example, sim:/top
227
; Must not be the same character as PathSeparator.
228
DatasetSeparator = :
229
 
230
; Disable VHDL assertion messages
231
; IgnoreNote = 1
232
; IgnoreWarning = 1
233
; IgnoreError = 1
234
; IgnoreFailure = 1
235
 
236
; Default force kind. May be freeze, drive, deposit, or default
237
; or in other terms, fixed, wired, or charged.
238
; A value of "default" will use the signal kind to determine the
239
; force kind, drive for resolved signals, freeze for unresolved signals
240
; DefaultForceKind = freeze
241
 
242
; If zero, open files when elaborated; otherwise, open files on
243
; first read or write.  Default is 0.
244
; DelayFileOpen = 1
245
 
246
; Control VHDL files opened for write.
247
;   0 = Buffered, 1 = Unbuffered
248
UnbufferedOutput = 0
249
 
250
; Control the number of VHDL files open concurrently.
251
; This number should always be less than the current ulimit
252
; setting for max file descriptors.
253
;   0 = unlimited
254
ConcurrentFileLimit = 40
255
 
256
; Control the number of hierarchical regions displayed as
257
; part of a signal name shown in the Wave window.
258
; A value of zero tells VSIM to display the full name.
259
; The default is 0.
260
; WaveSignalNameWidth = 0
261
 
262
; Turn off warnings from the std_logic_arith, std_logic_unsigned
263
; and std_logic_signed packages.
264
; StdArithNoWarnings = 1
265
 
266
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
267
; NumericStdNoWarnings = 1
268
 
269
; Control the format of the (VHDL) FOR generate statement label
270
; for each iteration.  Do not quote it.
271
; The format string here must contain the conversion codes %s and %d,
272
; in that order, and no other conversion codes.  The %s represents
273
; the generate_label; the %d represents the generate parameter value
274
; at a particular generate iteration (this is the position number if
275
; the generate parameter is of an enumeration type).  Embedded whitespace
276
; is allowed (but discouraged); leading and trailing whitespace is ignored.
277
; Application of the format must result in a unique scope name over all
278
; such names in the design so that name lookup can function properly.
279
; GenerateFormat = %s__%d
280
 
281
; Specify whether checkpoint files should be compressed.
282
; The default is 1 (compressed).
283
; CheckpointCompressMode = 0
284
 
285
; List of dynamically loaded objects for Verilog PLI applications
286
; Veriuser = veriuser.sl
287
 
288
; Specify default options for the restart command. Options can be one
289
; or more of: -force -nobreakpoint -nolist -nolog -nowave
290
; DefaultRestartOptions = -force
291
 
292
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
293
; (> 500 megabyte memory footprint). Default is disabled.
294
; Specify number of megabytes to lock.
295
; LockedMemory = 1000
296
 
297
; Turn on (1) or off (0) WLF file compression.
298
; The default is 1 (compress WLF file).
299
; WLFCompress = 0
300
 
301
; Specify whether to save all design hierarchy (1) in the WLF file
302
; or only regions containing logged signals (0).
303
; The default is 0 (save only regions with logged signals).
304
; WLFSaveAllRegions = 1
305
 
306
; WLF file time limit.  Limit WLF file by time, as closely as possible,
307
; to the specified amount of simulation time.  When the limit is exceeded
308
; the earliest times get truncated from the file.
309
; If both time and size limits are specified the most restrictive is used.
310
; UserTimeUnits are used if time units are not specified.
311
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
312
; WLFTimeLimit = 0
313
 
314
; WLF file size limit.  Limit WLF file size, as closely as possible,
315
; to the specified number of megabytes.  If both time and size limits
316
; are specified then the most restrictive is used.
317
; The default is 0 (no limit).
318
; WLFSizeLimit = 1000
319
 
320
; Specify whether or not a WLF file should be deleted when the
321
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
322
; The default is 0 (do not delete WLF file when simulation ends).
323
; WLFDeleteOnQuit = 1
324
 
325
[lmc]
326
 
327
[msg_system]
328
; Change a message severity or suppress a message.
329
; The format is:  = [,...]
330
; Examples:
331
;   note = 3009
332
;   warning = 3033
333
;   error = 3010,3016
334
;   fatal = 3016,3033
335
;   suppress = 3009,3016,3043
336
; The command verror  can be used to get the complete
337
; description of a message.
338
 
339
; Control transcripting of elaboration/runtime messages.
340
; The default is to have messages appear in the transcript and
341
; recorded in the wlf file (messages that are recorded in the
342
; wlf file can be viewed in the MsgViewer).  The other settings
343
; are to send messages only to the transcript or only to the
344
; wlf file.  The valid values are
345
;    both  {default}
346
;    tran  {transcript only}
347
;    wlf   {wlf file only}
348
; msgmode = both
349
 
350
[Project]
351 23 qaztronic
; Warning -- Do not edit the project properties directly.
352
;            Property names are dynamic in nature and property
353
;            values have special syntax.  Changing property data directly
354
;            can result in a corrupt MPF file.  All project properties
355
;            can be modified through project window dialogs.
356 21 qaztronic
Project_Version = 6
357
Project_DefaultLib = work
358
Project_SortMethod = unused
359 24 qaztronic
Project_Files_Count = 20
360
Project_File_0 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/tests/boot_vector_rom/tb_dut.v
361
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1265139252 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 18 dont_compile 0 cover_expr 0 cover_stmt 0
362
Project_File_1 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_system.v
363
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1239838063 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 10 dont_compile 0 cover_expr 0 cover_stmt 0
364
Project_File_2 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/models/S29al032d_00/model/s29al032d_00.v
365
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1118235516 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0
366
Project_File_3 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_gpio.v
367
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1238545534 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0
368 21 qaztronic
Project_File_4 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_ram.v
369 24 qaztronic
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1265136600 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0
370 21 qaztronic
Project_File_5 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_registers.v
371 24 qaztronic
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 9 dont_compile 0 cover_expr 0 cover_stmt 0
372 21 qaztronic
Project_File_6 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_1.v
373 24 qaztronic
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 4 dont_compile 0 cover_expr 0 cover_stmt 0
374 21 qaztronic
Project_File_7 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_top.v
375 24 qaztronic
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1265222099 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 11 dont_compile 0 cover_expr 0 cover_stmt 0
376 21 qaztronic
Project_File_8 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_2.v
377 24 qaztronic
Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1265220405 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0
378 21 qaztronic
Project_File_9 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_mem_bank_3.v
379 24 qaztronic
Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1239838063 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0
380 21 qaztronic
Project_File_10 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_0.v
381 24 qaztronic
Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 13 dont_compile 0 cover_expr 0 cover_stmt 0
382 21 qaztronic
Project_File_11 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/src/tb_top.v
383 24 qaztronic
Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1238111450 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
384
Project_File_12 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_adv_dbg.v
385
Project_File_P_12 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1265232852 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 19 dont_compile 0 cover_expr 0 cover_stmt 0
386
Project_File_13 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_peripherals.v
387
Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238019418 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src+incdir+../../../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0
388
Project_File_14 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_1.v
389
Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 14 dont_compile 0 cover_expr 0 cover_stmt 0
390
Project_File_15 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_rom_2.v
391
Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1238115510 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 15 dont_compile 0 cover_expr 0 cover_stmt 0
392
Project_File_16 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/top.v
393
Project_File_P_16 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1265221383 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
394
Project_File_17 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/src/boot_vector_rom.v
395
Project_File_P_17 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1238534422 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 12 dont_compile 0 cover_expr 0 cover_stmt 0
396
Project_File_18 = C:/qaz/_CVS_WORK/units/or1200_soc/boards/de1_board/sim/models/sram/IS61LV25616AL.v
397
Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1219274282 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 16 dont_compile 0 cover_expr 0 cover_stmt 0
398
Project_File_19 = C:/qaz/_CVS_WORK/units/or1200_soc/src/soc_boot.v
399
Project_File_P_19 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1265136509 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src+incdir+../../../../../src compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
400 21 qaztronic
Project_Sim_Count = 0
401
Project_Folder_Count = 0
402
Echo_Compile_Output = 0
403
Save_Compile_Report = 1
404
Project_Opt_Count = 0
405
ForceSoftPaths = 0
406
ProjectStatusDelay = 5000
407
VERILOG_DoubleClick = Edit
408
VERILOG_CustomDoubleClick =
409
SYSTEMVERILOG_DoubleClick = Edit
410
SYSTEMVERILOG_CustomDoubleClick =
411
VHDL_DoubleClick = Edit
412
VHDL_CustomDoubleClick =
413
PSL_DoubleClick = Edit
414
PSL_CustomDoubleClick =
415
TEXT_DoubleClick = Edit
416
TEXT_CustomDoubleClick =
417
SYSTEMC_DoubleClick = Edit
418
SYSTEMC_CustomDoubleClick =
419
TCL_DoubleClick = Edit
420
TCL_CustomDoubleClick =
421
MACRO_DoubleClick = Edit
422
MACRO_CustomDoubleClick =
423
VCD_DoubleClick = Edit
424
VCD_CustomDoubleClick =
425
SDF_DoubleClick = Edit
426
SDF_CustomDoubleClick =
427
XML_DoubleClick = Edit
428
XML_CustomDoubleClick =
429
LOGFILE_DoubleClick = Edit
430
LOGFILE_CustomDoubleClick =
431
UCDB_DoubleClick = Edit
432
UCDB_CustomDoubleClick =
433
Project_Major_Version = 6
434 23 qaztronic
Project_Minor_Version = 5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.