OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sw/] [load_this_to_ram/] [boot_rom_0.txt] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 qaztronic
@0
2
400000
3 22 qaztronic
 
4 28 qaztronic
@1
5
553141
6 22 qaztronic
 
7 28 qaztronic
@2
8
67FE78
9 22 qaztronic
 
10 28 qaztronic
@3
11
7648A6
12 22 qaztronic
 
13 28 qaztronic
@4
14
7E731C
15 22 qaztronic
 
16 28 qaztronic
@5
17
7F9203
18 22 qaztronic
 
19 28 qaztronic
@6
20
7984FE
21 22 qaztronic
 
22 28 qaztronic
@7
23
6CFACD
24 22 qaztronic
 
25 28 qaztronic
@8
26
5B5D97
27 22 qaztronic
 
28 28 qaztronic
@9
29
46AA0F
30 22 qaztronic
 
31 28 qaztronic
@A
32
31360F
33 22 qaztronic
 
34 28 qaztronic
@B
35
1D6D2A
36 22 qaztronic
 
37 28 qaztronic
@C
38
876B94
39 22 qaztronic
 
40 28 qaztronic
@D
41
217FE6
42 22 qaztronic
 
43 28 qaztronic
@E
44
 
45 22 qaztronic
 
46 28 qaztronic
@F
47
26B36C
48 22 qaztronic
 
49 28 qaztronic
@10
50
913C6E
51 22 qaztronic
 
52 28 qaztronic
@11
53
1EC23B
54 22 qaztronic
 
55 28 qaztronic
@12
56
32BE6F
57 22 qaztronic
 
58 28 qaztronic
@13
59
483979
60 22 qaztronic
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.