OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sw/] [tests/] [debug/] [__tmp/] [de1.ld] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 qaztronic
/* ------------------------------ */
2
/* link script */
3
/* ------------------------------ */
4
 
5
MEMORY
6
        {
7
        boot_vector_rom   : ORIGIN = 0x00000000, LENGTH = 0x00000010
8
        aram              : ORIGIN = 0x04000000, LENGTH = 0x00020000
9
        emem              : ORIGIN = 0x08000000, LENGTH = 0x00002000
10
        qmem              : ORIGIN = 0xffe00000, LENGTH = 0x00002000
11
        }
12
 
13
SECTIONS
14
{
15
        .boot_vector_rom :
16
        {
17
        } > boot_vector_rom
18
 
19
        .text :
20
        {
21
        reset_func = .;
22
        *(.vectors)
23
        *(.text)
24
        } > emem
25
 
26
        .rodata :
27
        {
28
        *(.rodata)
29
        *(.rodata.*)
30
        . = ALIGN(4);
31
        } > emem
32
 
33
        .data :
34
        {
35
        *(.data)
36
        } > emem
37
 
38
        .bss :
39
        {
40
        *(.bss)
41
        } > emem
42
 
43
        .stack (NOLOAD) :
44
        {
45
        *(.stack)
46
        } > emem
47
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.