OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [common/] [adder.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joaocarlos
----------------------------------------------------------------------------------
2 11 joaocarlos
-- Engineer: Joao Carlos Nunes Bittencourt
3
----------------------------------------------------------------------------------
4
-- Create Date:    13:18:18 03/06/2012 
5
----------------------------------------------------------------------------------
6
-- Design Name:    Adder Macrofunction
7
-- Module Name:    adder - behavioral 
8
----------------------------------------------------------------------------------
9
-- Project Name:   16-bit uRISC Processor
10
----------------------------------------------------------------------------------
11 2 joaocarlos
-- Revision: 
12 11 joaocarlos
--      1.0 - File Created
13
--      2.0 - Project refactoring
14 2 joaocarlos
--
15
----------------------------------------------------------------------------------
16
library ieee;
17
use ieee.std_logic_1164.all;
18
use ieee.std_logic_arith.all;
19
use ieee.std_logic_unsigned.all;
20
 
21
entity adder is
22
        Generic (
23
                WIDTH : integer := 16 );
24
    Port (
25 11 joaocarlos
        sink_a   : in std_logic_vector (WIDTH-1 downto 0);
26
        sink_b   : in std_logic_vector (WIDTH-1 downto 0);
27
        src_data : out std_logic_vector (WIDTH-1 downto 0) );
28 2 joaocarlos
end adder;
29
 
30 11 joaocarlos
architecture behavioral of adder is
31 2 joaocarlos
begin
32 11 joaocarlos
        process(sink_a, sink_b)
33
                variable aux : std_logic_vector(WIDTH-1 downto 0) := conv_std_logic_vector(0,WIDTH);
34 2 joaocarlos
        begin
35 11 joaocarlos
                aux := sink_a + sink_b;
36
                src_data <= aux;
37 2 joaocarlos
        end process;
38
 
39 11 joaocarlos
end behavioral;
40 2 joaocarlos
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.