OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f3m_inv.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 homer.xing
`timescale 1ns / 1ps
2
`define CLOCK_PERIOD 10
3
module test_f3m_inv;
4
 
5
        // Inputs
6
        reg [193:0] A;
7
        reg clk;
8
        reg reset;
9
 
10
        // Outputs
11
        wire [193:0] C;
12
 
13
        // Instantiate the Unit Under Test (UUT)
14
        f3m_inv uut (
15
                .A(A),
16
                .clk(clk),
17
                .reset(reset),
18
                .C(C)
19
        );
20
 
21
    always #`CLOCK_PERIOD clk = ~clk;
22
 
23
        initial begin
24
                // Initialize Inputs
25
                A = 0;
26
                clk = 0;
27
                reset = 0;
28
 
29
                // Wait 100 ns for global reset to finish
30
                #100;
31
 
32
                // Add stimulus here
33
        A = 32'b10_01_01_10_01_00; // A = "x";
34
        @(negedge clk); reset = 1;
35
        @(negedge clk); reset = 0;
36
        $display("Go!");
37
        #(200*2*`CLOCK_PERIOD);
38
        if (C != 192'h65450169824811252a919a8a02964184221a1562655252a9) begin $display("Error!"); $finish; end
39
        $display("Good!"); $finish;
40
        end
41
 
42
endmodule
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.