OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f3m_mult.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 homer.xing
`timescale 1ns / 1ns
2
module test_f3m_mult;
3
reg [193:0] A, B;
4
reg clk, reset;
5
wire [193:0] C;
6
wire done;
7
 
8
f3m_mult uut(
9
        .A(A),
10
        .B(B),
11
        .clk(clk),
12
        .reset(reset),
13
        .C(C),
14
        .done(done)
15
);
16
 
17
initial
18
begin
19
clk = 0; reset = 0;
20
#100;
21
 
22
A=194'h8864990666a959a88500249a244495aaa26a2a0194082aa1;
23
B=194'h116698585aa229805611194a6520151245204aa9114a89200;
24
@(negedge clk); reset = 1; @(negedge clk); reset = 0;
25
@(posedge done);
26
if(C != 194'h100495240850452646608102a691160594240510028916090) begin $display("E!"); $finish; end
27
#100;
28
$finish;
29
end
30
 
31
always #10 clk = ~clk;
32
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.