OpenCores
URL https://opencores.org/ocsvn/pc_fpga_com/pc_fpga_com/trunk

Subversion Repositories pc_fpga_com

[/] [pc_fpga_com/] [trunk/] [UDP_IP_CORE_FLEX_Spartan3/] [comp_6b_equal.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: comp_6b_equal.vhd
10
-- /___/   /\     Timestamp: Thu Feb 04 11:02:26 2010
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl C:\PHd_Projects\The_Felsenstein_CoProcessor\COREGEN_Design\tmp\_cg\comp_6b_equal.ngc C:\PHd_Projects\The_Felsenstein_CoProcessor\COREGEN_Design\tmp\_cg\comp_6b_equal.vhd 
15
-- Device       : 3s200ft256-4
16
-- Input file   : C:/PHd_Projects/The_Felsenstein_CoProcessor/COREGEN_Design/tmp/_cg/comp_6b_equal.ngc
17
-- Output file  : C:/PHd_Projects/The_Felsenstein_CoProcessor/COREGEN_Design/tmp/_cg/comp_6b_equal.vhd
18
-- # of Entities        : 1
19
-- Design Name  : comp_6b_equal
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity comp_6b_equal is
44
  port (
45
    qa_eq_b : out STD_LOGIC;
46
    clk : in STD_LOGIC := 'X';
47
    a : in STD_LOGIC_VECTOR ( 5 downto 0 );
48
    b : in STD_LOGIC_VECTOR ( 5 downto 0 )
49
  );
50
end comp_6b_equal;
51
 
52
architecture STRUCTURE of comp_6b_equal is
53
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o80_18 : STD_LOGIC;
54
 
55
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o53_17 : STD_LOGIC;
56
 
57
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o26_16 : STD_LOGIC;
58
 
59
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result : STD_LOGIC;
60
  signal BU2_a_ge_b : STD_LOGIC;
61
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
62
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
63
  signal a_2 : STD_LOGIC_VECTOR ( 5 downto 0 );
64
  signal b_3 : STD_LOGIC_VECTOR ( 5 downto 0 );
65
begin
66
  a_2(5) <= a(5);
67
  a_2(4) <= a(4);
68
  a_2(3) <= a(3);
69
  a_2(2) <= a(2);
70
  a_2(1) <= a(1);
71
  a_2(0) <= a(0);
72
  b_3(5) <= b(5);
73
  b_3(4) <= b(4);
74
  b_3(3) <= b(3);
75
  b_3(2) <= b(2);
76
  b_3(1) <= b(1);
77
  b_3(0) <= b(0);
78
  VCC_0 : VCC
79
    port map (
80
      P => NLW_VCC_P_UNCONNECTED
81
    );
82
  GND_1 : GND
83
    port map (
84
      G => NLW_GND_G_UNCONNECTED
85
    );
86
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o95 :
87
LUT3
88
    generic map(
89
      INIT => X"80"
90
    )
91
    port map (
92
      I0 =>
93
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o26_16
94
,
95
      I1 =>
96
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o53_17
97
,
98
      I2 =>
99
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o80_18
100
,
101
      O => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result
102
    );
103
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o80 :
104
LUT4
105
    generic map(
106
      INIT => X"9009"
107
    )
108
    port map (
109
      I0 => a_2(1),
110
      I1 => b_3(1),
111
      I2 => a_2(0),
112
      I3 => b_3(0),
113
      O =>
114
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o80_18
115
 
116
    );
117
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o53 :
118
LUT4
119
    generic map(
120
      INIT => X"9009"
121
    )
122
    port map (
123
      I0 => a_2(3),
124
      I1 => b_3(3),
125
      I2 => a_2(2),
126
      I3 => b_3(2),
127
      O =>
128
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o53_17
129
 
130
    );
131
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o26 :
132
LUT4
133
    generic map(
134
      INIT => X"9009"
135
    )
136
    port map (
137
      I0 => a_2(5),
138
      I1 => b_3(5),
139
      I2 => a_2(4),
140
      I3 => b_3(4),
141
      O =>
142
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o26_16
143
 
144
    );
145
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_gen_output_reg_output_reg_fd_output_1 : FD
146
    generic map(
147
      INIT => '0'
148
    )
149
    port map (
150
      C => clk,
151
      D => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result,
152
      Q => qa_eq_b
153
    );
154
  BU2_XST_GND : GND
155
    port map (
156
      G => BU2_a_ge_b
157
    );
158
 
159
end STRUCTURE;
160
 
161
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.