OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [cl_ac701/] [rtl/] [ctrl_adsp_v2_decode_cmd_adr_cs.vhd] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : ctrl_adsp_v2_decode_cmd_adr_cs
4
-- Author      : Dmitry Smekhov, Ilya Ivanov
5
-- Company     : Instrumental System
6
--
7
-- Version     : 1.1
8
---------------------------------------------------------------------------------------------------
9
--
10
-- Description :  Модуль декодирования сигнала чтения регистра CMD_ADR для Virtex2
11
--
12
---------------------------------------------------------------------------------------------------
13
--
14
--      Version 1.1 17.06.2005
15
--                              Удалены атрибуты RLOC и компоненты FMAP
16
--
17
---------------------------------------------------------------------------------------------------
18
 
19
library IEEE;
20
use IEEE.STD_LOGIC_1164.all;
21
use ieee.std_logic_arith.all;
22
 
23
-- synopsys translate_off
24
library ieee;
25
use ieee.vital_timing.all;
26
-- synopsys translate_on
27
 
28
library unisim;
29
use unisim.VCOMPONENTS.all;
30
 
31
 
32
entity ctrl_adsp_v2_decode_cmd_adr_cs is
33
        port (
34
                reset           : in std_logic;                 -- 0 - сброс
35
                clk                     : in std_logic;                 -- тактовая частота
36
                adr                     : in std_logic_vector( 4 downto 0 );     -- шина адреса
37
                rd                      : in std_logic;                                                 -- 0 - чтение данных
38
                data_cs         : out std_logic                                                 -- 0 - чтение данных
39
        );
40
end ctrl_adsp_v2_decode_cmd_adr_cs;
41
 
42
 
43
architecture ctrl_adsp_v2_decode_cmd_adr_cs of ctrl_adsp_v2_decode_cmd_adr_cs is
44
 
45
signal cs1      : std_logic;    -- 0 - чтение данных
46
 
47
--attribute rloc        : string;
48
--attribute rloc        of xcs1 : label is "X0Y0";
49
--attribute rloc        of xd   : label is "X0Y0";
50
 
51
begin
52
 
53
 
54
 
55
cs1 <='0' when   adr( 1 downto 0 )="10"
56
                                else '1'  after 1 ns;
57
 
58
--xcs1: fmap port map( o=>cs1, i1=>'0', i2=>'0', i3=>adr(0), i4=>adr(1) );
59
xd:       fd   port map( q=>data_cs, c=>clk, d=>cs1 );
60
 
61
 
62
end ctrl_adsp_v2_decode_cmd_adr_cs;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.