OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [adm/] [cl_ac701/] [rtl/] [ctrl_adsp_v2_decode_data_we.vhd] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 dsmv
---------------------------------------------------------------------------------------------------
2
--
3
-- Title       : ctrl_adsp_v2_decode_data_we
4
-- Author      : Dmitry Smekhov, Ilya Ivanov
5
-- Company     : Instrumental System
6
--
7
-- Version     : 1.1
8
---------------------------------------------------------------------------------------------------
9
--
10
-- Description :  Модуль декодирования сигналов записи в тетраду для Virtex2
11
--
12
---------------------------------------------------------------------------------------------------
13
--
14
--      Version 1.1 17.06.2005
15
--                              Удалены атрибуты RLOC и компоненты FMAP
16
--
17
---------------------------------------------------------------------------------------------------
18
 
19
 
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.all;
22
use ieee.std_logic_arith.all;
23
 
24
-- synopsys translate_off
25
library ieee;
26
use ieee.vital_timing.all;
27
-- synopsys translate_on
28
 
29
library unisim;
30
use unisim.VCOMPONENTS.all;
31
 
32
 
33
entity ctrl_adsp_v2_decode_data_we is
34
        generic(
35
                trd                     : in integer;                   -- номер тетрады
36
                reg                     : in integer                    -- номер регистра
37
                                                                                        -- 0 - STATUS
38
                                                                                        -- 1 - DATA
39
                                                                                        -- 2 - CMD_ADR
40
                                                                                        -- 3 - CMD_DATA
41
        );
42
        port (
43
                reset           : in std_logic;                 -- 0 - сброс
44
                clk                     : in std_logic;                 -- тактовая частота
45
                adr                     : in std_logic_vector( 4 downto 0 );     -- шина адреса
46
                wr                      : in std_logic;                                                 -- 0 - запись данных
47
                data_we         : out std_logic                                                 -- 1 - запись данных
48
        );
49
end ctrl_adsp_v2_decode_data_we;
50
 
51
 
52
architecture ctrl_adsp_v2_decode_data_we of ctrl_adsp_v2_decode_data_we is
53
 
54
signal cs0      : std_logic;    -- 1 - совпадение номера тетрады
55
signal cs1      : std_logic;    -- 0 - чтение данных
56
 
57
component fmap is
58
        port(
59
                i1, i2, i3, i4  : in std_logic;
60
                o                               : in std_logic
61
        );
62
end component;
63
 
64
--attribute rloc        : string;
65
--attribute rloc        of fmap : component is "X0Y0";
66
--attribute rloc        of xd   : label is "X0Y0";
67
 
68
begin
69
 
70
 
71
cs0 <='1' when adr( 4 downto 2 )=conv_std_logic_vector( trd, 3 ) else '0';
72
cs1 <='1' when  adr( 1 downto 0 )=conv_std_logic_vector( reg, 2 )
73
                                and wr='0' and  cs0='1'
74
                            else '0'  after 1 ns;
75
 
76
 
77
--xcs0: fmap port map( o=>cs0, i1=>adr(2), i2=>adr(3), i3=>adr(4), i4=>'0' );   
78
--xcs1: fmap port map( o=>cs1, i1=>cs0, i2=>wr, i3=>adr(0), i4=>adr(1) );
79
xd:       fd   port map( q=>data_we, c=>clk, d=>cs1 );
80
 
81
 
82
end ctrl_adsp_v2_decode_data_we;
83
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.