OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [pcie_core64_m1/] [source_artix7/] [cl_a7pcie_x4_qpll_wrapper.v] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 dsmv
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Series-7 Integrated Block for PCI Express
51
// File       : cl_a7pcie_x4_qpll_wrapper.v
52 48 dsmv
// Version    : 1.10
53 46 dsmv
//------------------------------------------------------------------------------
54
//  Filename     :  qpll_wrapper.v
55
//  Description  :  QPLL Wrapper Module for 7 Series Transceiver
56
//  Version      :  18.1
57
//------------------------------------------------------------------------------
58
 
59
 
60
 
61
`timescale 1ns / 1ps
62
 
63
 
64
 
65
//---------- QPLL Wrapper ----------------------------------------------------
66
module cl_a7pcie_x4_qpll_wrapper #
67
(
68
 
69
    parameter PCIE_SIM_MODE    = "FALSE",                   // PCIe sim mode
70
    parameter PCIE_GT_DEVICE   = "GTX",                     // PCIe GT device
71
    parameter PCIE_USE_MODE    = "3.0",                     // PCIe use mode
72
    parameter PCIE_PLL_SEL     = "CPLL",                    // PCIe PLL select for Gen1/Gen2 only
73
    parameter PCIE_REFCLK_FREQ = 0                          // PCIe reference clock frequency
74
 
75
)
76
 
77
(
78
 
79
    //---------- QPLL Clock Ports --------------------------
80
    input               QPLL_GTGREFCLK,
81
    input               QPLL_QPLLLOCKDETCLK,
82
 
83
    output              QPLL_QPLLOUTCLK,
84
    output              QPLL_QPLLOUTREFCLK,
85
    output              QPLL_QPLLLOCK,
86
 
87
    //---------- QPLL Reset Ports --------------------------
88
    input               QPLL_QPLLPD,
89
    input               QPLL_QPLLRESET,
90
 
91
    //---------- QPLL DRP Ports ----------------------------
92
    input               QPLL_DRPCLK,
93
    input       [ 7:0]  QPLL_DRPADDR,
94
    input               QPLL_DRPEN,
95
    input       [15:0]  QPLL_DRPDI,
96
    input               QPLL_DRPWE,
97
 
98
    output      [15:0]  QPLL_DRPDO,
99
    output              QPLL_DRPRDY
100
 
101
);
102
 
103
 
104
 
105
    //---------- Select QPLL Feedback Divider --------------
106
    //  N = 100 for 100 MHz ref clk and 10Gb/s line rate
107
    //  N =  80 for 125 MHz ref clk and 10Gb/s line rate
108
    //  N =  40 for 250 MHz ref clk and 10Gb/s line rate
109
    //------------------------------------------------------
110
    //  N =  80 for 100 MHz ref clk and  8Gb/s line rate
111
    //  N =  64 for 125 MHz ref clk and  8Gb/s line rate
112
    //  N =  32 for 250 MHz ref clk and  8Gb/s line rate
113
    //------------------------------------------------------
114
    localparam QPLL_FBDIV = (PCIE_REFCLK_FREQ == 2) && (PCIE_PLL_SEL == "QPLL") ? 10'b0010000000 :
115
                            (PCIE_REFCLK_FREQ == 1) && (PCIE_PLL_SEL == "QPLL") ? 10'b0100100000 :
116
                            (PCIE_REFCLK_FREQ == 0) && (PCIE_PLL_SEL == "QPLL") ? 10'b0101110000 :
117
                            (PCIE_REFCLK_FREQ == 2) && (PCIE_PLL_SEL == "CPLL") ? 10'b0001100000 :
118
                            (PCIE_REFCLK_FREQ == 1) && (PCIE_PLL_SEL == "CPLL") ? 10'b0011100000 : 10'b0100100000;
119
 
120
    //---------- Select GTP QPLL Feedback Divider ----------                     
121
    localparam GTP_QPLL_FBDIV  = (PCIE_REFCLK_FREQ == 2) ? 3'd2 :
122
                                 (PCIE_REFCLK_FREQ == 1) ? 3'd4 : 3'd5;
123
 
124
    //---------- Select BIAS_CFG ---------------------------
125
    localparam BIAS_CFG = ((PCIE_USE_MODE == "1.0") && (PCIE_PLL_SEL == "CPLL")) ? 64'h0000042000001000 : 64'h0000040000001000;
126
 
127
 
128
 
129
//---------- Select GTX or GTH or GTP ------------------------------------------
130
//  Notes  :  Attributes that are commented out uses the GT default settings
131
//------------------------------------------------------------------------------
132
generate if (PCIE_GT_DEVICE == "GTP")
133
 
134
    //---------- GTP Common ----------------------------------------------------
135
    begin : gtp_common
136
 
137
    //---------- GTP Common Module ---------------------------------------------
138
    GTPE2_COMMON #
139
    (
140
 
141
        //---------- Simulation Attributes -------------------------------------                                                     
142
        .SIM_PLL0REFCLK_SEL             (3'b001),                               //                                                   
143
        .SIM_PLL1REFCLK_SEL             (3'b001),                               //                                                   
144
        .SIM_RESET_SPEEDUP              (PCIE_SIM_MODE),                        //                                                   
145
        .SIM_VERSION                    (PCIE_USE_MODE),                        //                                                   
146
 
147
        //---------- Clock Attributes ------------------------------------------                                                     
148
        .PLL0_CFG                       (27'h01F024C),                          // Optimized for IES                                                  
149
        .PLL1_CFG                       (27'h01F024C),                          // Optimized for IES                                                  
150
        .PLL_CLKOUT_CFG                 (8'd0),                                 // Optimized for IES                                                   
151
        .PLL0_DMON_CFG                  (1'b0),                                 // Optimized for IES                                                  
152
        .PLL1_DMON_CFG                  (1'b0),                                 // Optimized for IES                                      
153
        .PLL0_FBDIV                     (GTP_QPLL_FBDIV),                       // Optimized for IES                                                  
154
        .PLL1_FBDIV                     (GTP_QPLL_FBDIV),                       // Optimized for IES                                                   
155
        .PLL0_FBDIV_45                  (5),                                    // Optimized for IES                                                  
156
        .PLL1_FBDIV_45                  (5),                                    // Optimized for IES                                                  
157
        .PLL0_INIT_CFG                  (24'h00001E),                           // Optimized for IES                                                  
158
        .PLL1_INIT_CFG                  (24'h00001E),                           // Optimized for IES                                                   
159
        .PLL0_LOCK_CFG                  ( 9'h1E8),                              // Optimized for IES    
160
        .PLL1_LOCK_CFG                  ( 9'h1E8),                              // Optimized for IES                                                                                                                   
161
        .PLL0_REFCLK_DIV                (1),                                    // Optimized for IES                                                  
162
        .PLL1_REFCLK_DIV                (1),                                    // Optimized for IES                                                  
163
 
164
        //---------- MISC ------------------------------------------------------                                                     
165
        .BIAS_CFG                       (64'h0000000000050001),                 // Optimized for GES                                                 
166
      //.COMMON_CFG                     (32'd0),                                //                                                                                                   
167
        .RSVD_ATTR0                     (16'd0),                                //                                                   
168
        .RSVD_ATTR1                     (16'd0)                                 //                                                   
169
 
170
    )
171
    gtpe2_common_i
172
    (
173
 
174
        //---------- Clock -----------------------------------------------------                         
175
        .GTGREFCLK0                     ( 1'd0),                                //                       
176
        .GTGREFCLK1                     ( 1'd0),                                //                       
177
        .GTREFCLK0                      (QPLL_GTGREFCLK),                       //                       
178
        .GTREFCLK1                      ( 1'd0),                                //                       
179
        .GTEASTREFCLK0                  ( 1'd0),                                //                       
180
        .GTEASTREFCLK1                  ( 1'd0),                                //                       
181
        .GTWESTREFCLK0                  ( 1'd0),                                //                       
182
        .GTWESTREFCLK1                  ( 1'd0),                                //                       
183
        .PLL0LOCKDETCLK                 (QPLL_QPLLLOCKDETCLK),                  //                       
184
        .PLL1LOCKDETCLK                 (QPLL_QPLLLOCKDETCLK),                  //                       
185
        .PLL0LOCKEN                     ( 1'd1),                                //                       
186
        .PLL1LOCKEN                     ( 1'd1),                                //                       
187
        .PLL0REFCLKSEL                  ( 3'd1),                                // Optimized for IES                      
188
        .PLL1REFCLKSEL                  ( 3'd1),                                // Optimized for IES                      
189
        .PLLRSVD1                       (16'd0),                                // Optimized for IES                    
190
        .PLLRSVD2                       ( 5'd0),                                // Optimized for IES                  
191
 
192
        .PLL0OUTCLK                     (QPLL_QPLLOUTCLK),                      //                       
193
        .PLL1OUTCLK                     (),                                     //                       
194
        .PLL0OUTREFCLK                  (QPLL_QPLLOUTREFCLK),                   //                       
195
        .PLL1OUTREFCLK                  (),                                     //                       
196
        .PLL0LOCK                       (QPLL_QPLLLOCK),                        //                       
197
        .PLL1LOCK                       (),                                     //                       
198
        .PLL0FBCLKLOST                  (),                                     //                       
199
        .PLL1FBCLKLOST                  (),                                     //                       
200
        .PLL0REFCLKLOST                 (),                                     //                       
201
        .PLL1REFCLKLOST                 (),                                     //                       
202
        .DMONITOROUT                    (),                                     // 
203
 
204
        //---------- Reset -----------------------------------------------------                         
205
        .PLL0PD                         (QPLL_QPLLPD),                          //                       
206
        .PLL1PD                         ( 1'd1),                                //                       
207
        .PLL0RESET                      (QPLL_QPLLRESET),                       //                       
208
        .PLL1RESET                      ( 1'd1),                                //                       
209
 
210
        //---------- DRP -------------------------------------------------------                         
211
        .DRPCLK                         (QPLL_DRPCLK),                          //                       
212
        .DRPADDR                        (QPLL_DRPADDR),                         //                       
213
        .DRPEN                          (QPLL_DRPEN),                           //                       
214
        .DRPDI                          (QPLL_DRPDI),                           //                       
215
        .DRPWE                          (QPLL_DRPWE),                           //                       
216
 
217
        .DRPDO                          (QPLL_DRPDO),                           //                       
218
        .DRPRDY                         (QPLL_DRPRDY),                          //                       
219
 
220
        //---------- Band Gap --------------------------------------------------                         
221
        .BGBYPASSB                      ( 1'd1),                                // Optimized for IES                      
222
        .BGMONITORENB                   ( 1'd1),                                // Optimized for IES                      
223
        .BGPDB                          ( 1'd1),                                // Optimized for IES
224
        .BGRCALOVRD                     ( 5'd31),                               // Optimized for IES
225
        .BGRCALOVRDENB                  ( 1'd1),                                // Optimized for IES
226
 
227
        //---------- MISC ------------------------------------------------------
228
        .PMARSVD                        ( 8'd0),                                //
229
        .RCALENB                        ( 1'd1),                                // Optimized for IES
230
 
231
        .REFCLKOUTMONITOR0              (),                                     //
232
        .REFCLKOUTMONITOR1              (),                                     //
233
        .PMARSVDOUT                     ()                                      //  
234
 
235
    );
236
 
237
    end
238
 
239
else if (PCIE_GT_DEVICE == "GTH")
240
 
241
    //---------- GTH Common ----------------------------------------------------
242
    begin : gth_common
243
 
244
    //---------- GTX Common Module ---------------------------------------------
245
    GTHE2_COMMON #
246
    (
247
 
248
        //---------- Simulation Attributes -------------------------------------
249
        .SIM_QPLLREFCLK_SEL             (3'b001),                               //
250
        .SIM_RESET_SPEEDUP              (PCIE_SIM_MODE),                        //
251
        .SIM_VERSION                    ("2.0"),                                // 
252
 
253
        //---------- Clock Attributes ------------------------------------------
254
        .QPLL_CFG                       (27'h04801C7),                          // QPLL for Gen3, optimized for GES
255
        .QPLL_CLKOUT_CFG                ( 4'b1111),                             // Optimized for GES
256
        .QPLL_COARSE_FREQ_OVRD          ( 6'b010000),                           // 
257
        .QPLL_COARSE_FREQ_OVRD_EN       ( 1'd0),                                // 
258
        .QPLL_CP                        (10'h0FF),                              // * Optimized for IES and PCIe PLL BW 
259
        .QPLL_CP_MONITOR_EN             ( 1'd0),                                //
260
        .QPLL_DMONITOR_SEL              ( 1'd0),                                //
261
        .QPLL_FBDIV                     (QPLL_FBDIV),                           // 
262
        .QPLL_FBDIV_MONITOR_EN          ( 1'd0),                                //
263
        .QPLL_FBDIV_RATIO               ( 1'd1),                                // Optimized
264
        .QPLL_INIT_CFG                  (24'h000006),                           // 
265
        .QPLL_LOCK_CFG                  (16'h05E8),                             // Optimized for IES
266
        .QPLL_LPF                       ( 4'hD),                                // Optimized for IES, [1:0] = 2'b00 (13.3 KOhm), [1:0] = 2'b01 (57.0 KOhm)
267
        .QPLL_REFCLK_DIV                      ( 1),                                   // 
268
        .QPLL_RP_COMP                   ( 1'd0),                                // GTH new
269
        .QPLL_VTRL_RESET                ( 2'd0),                                // GTH new
270
 
271
        //---------- MISC ------------------------------------------------------
272
        .BIAS_CFG                             (64'h0000040000001050),                 // Optimized for GES
273
        .COMMON_CFG                         (32'd0),                                // 
274
        .RCAL_CFG                       ( 2'b00),                               // GTH new
275
        .RSVD_ATTR0                     (16'd0),                                // GTH
276
        .RSVD_ATTR1                     (16'd0)                                 // GTH    
277
    )
278
    gthe2_common_i
279
    (
280
 
281
        //---------- Clock -----------------------------------------------------
282
        .GTGREFCLK                      ( 1'd0),                                //    
283
        .GTREFCLK0                      (QPLL_GTGREFCLK),                       //
284
        .GTREFCLK1                      ( 1'd0),                                //
285
        .GTNORTHREFCLK0                 ( 1'd0),                                //
286
        .GTNORTHREFCLK1                 ( 1'd0),                                //
287
        .GTSOUTHREFCLK0                 ( 1'd0),                                //
288
        .GTSOUTHREFCLK1                 ( 1'd0),                                //
289
        .QPLLLOCKDETCLK                 (QPLL_QPLLLOCKDETCLK),                  //
290
        .QPLLLOCKEN                     ( 1'd1),                                //
291
        .QPLLREFCLKSEL                  ( 3'd1),                                //
292
        .QPLLRSVD1                      (16'd0),                                //
293
        .QPLLRSVD2                      ( 5'b11111),                            //
294
 
295
        .QPLLOUTCLK                     (QPLL_QPLLOUTCLK),                      //
296
        .QPLLOUTREFCLK                  (QPLL_QPLLOUTREFCLK),                   //
297
        .QPLLLOCK                       (QPLL_QPLLLOCK),                        //
298
        .QPLLFBCLKLOST                  (),                                     //
299
        .QPLLREFCLKLOST                 (),                                     //
300
        .QPLLDMONITOR                   (),                                     //
301
 
302
        //---------- Reset -----------------------------------------------------
303
        .QPLLPD                         (QPLL_QPLLPD),                          // 
304
        .QPLLRESET                      (QPLL_QPLLRESET),                       //
305
        .QPLLOUTRESET                   ( 1'd0),                                //
306
 
307
        //---------- DRP -------------------------------------------------------
308
        .DRPCLK                         (QPLL_DRPCLK),                          //
309
        .DRPADDR                        (QPLL_DRPADDR),                         //
310
        .DRPEN                          (QPLL_DRPEN),                           //
311
        .DRPDI                          (QPLL_DRPDI),                           //
312
        .DRPWE                          (QPLL_DRPWE),                           //
313
 
314
        .DRPDO                          (QPLL_DRPDO),                           //
315
        .DRPRDY                         (QPLL_DRPRDY),                          //
316
 
317
        //---------- Band Gap --------------------------------------------------    
318
        .BGBYPASSB                      ( 1'd1),                                // Optimized for IES
319
        .BGMONITORENB                   ( 1'd1),                                // Optimized for IES
320
        .BGPDB                          ( 1'd1),                                // Optimized for IES
321
        .BGRCALOVRD                     ( 5'd31),                               // Optimized for IES
322
        .BGRCALOVRDENB                  ( 1'd1),                                // GTH, Optimized for IES
323
 
324
        //---------- MISC ------------------------------------------------------
325
        .PMARSVD                        ( 8'd0),                                //
326
        .RCALENB                        ( 1'd1),                                // Optimized for IES
327
 
328
        .REFCLKOUTMONITOR               (),                                     //
329
        .PMARSVDOUT                     ()                                      // GTH
330
 
331
    );
332
 
333
    end
334
 
335
else
336
 
337
    //---------- GTX Common ----------------------------------------------------
338
    begin : gtx_common
339
 
340
    //---------- GTX Common Module ---------------------------------------------
341
    GTXE2_COMMON #
342
    (
343
 
344
        //---------- Simulation Attributes ------------------------------------- 
345
        .SIM_QPLLREFCLK_SEL             ( 3'b001),                              //
346
        .SIM_RESET_SPEEDUP              (PCIE_SIM_MODE),                        //
347
        .SIM_VERSION                    (PCIE_USE_MODE),                        // 
348
 
349
        //---------- Clock Attributes ------------------------------------------
350
        .QPLL_CFG                       (27'h06801C1),                          // QPLL for Gen3, Optimized for silicon, 
351
      //.QPLL_CLKOUT_CFG                ( 4'd0),                                //
352
        .QPLL_COARSE_FREQ_OVRD          ( 6'b010000),                           // 
353
        .QPLL_COARSE_FREQ_OVRD_EN       ( 1'd0),                                // 
354
        .QPLL_CP                        (10'h01F),                              // Optimized for Gen3 compliance (Gen1/Gen2 = 10'h1FF) 
355
        .QPLL_CP_MONITOR_EN             ( 1'd0),                                //
356
        .QPLL_DMONITOR_SEL              ( 1'd0),                                //
357
        .QPLL_FBDIV                     (QPLL_FBDIV),                           // 
358
        .QPLL_FBDIV_MONITOR_EN          ( 1'd0),                                //
359
        .QPLL_FBDIV_RATIO               ( 1'd1),                                // Optimized for silicon
360
      //.QPLL_INIT_CFG                  (24'h000006),                           // 
361
        .QPLL_LOCK_CFG                  (16'h21E8),                             // Optimized for silicon, IES = 16'h01D0, GES 16'h21D0
362
        .QPLL_LPF                       ( 4'hD),                                // Optimized for silicon, [1:0] = 2'b00 (13.3 KOhm), [1:0] = 2'b01 (57.0 KOhm)
363
        .QPLL_REFCLK_DIV                      (1),                                    // 
364
 
365
        //---------- MISC ------------------------------------------------------
366
        .BIAS_CFG                       (BIAS_CFG)                              // Optimized for silicon
367
      //.COMMON_CFG                     (32'd0)                                 //
368
 
369
    )
370
    gtxe2_common_i
371
    (
372
 
373
        //---------- Clock -----------------------------------------------------
374
        .GTGREFCLK                      ( 1'd0),                                //
375
        .GTREFCLK0                      (QPLL_GTGREFCLK),                       //
376
        .GTREFCLK1                      ( 1'd0),                                //
377
        .GTNORTHREFCLK0                 ( 1'd0),                                //
378
        .GTNORTHREFCLK1                 ( 1'd0),                                //
379
        .GTSOUTHREFCLK0                 ( 1'd0),                                //
380
        .GTSOUTHREFCLK1                 ( 1'd0),                                //
381
        .QPLLLOCKDETCLK                 (QPLL_QPLLLOCKDETCLK),                  //
382
        .QPLLLOCKEN                     ( 1'd1),                                //
383
        .QPLLREFCLKSEL                  ( 3'd1),                                //
384
        .QPLLRSVD1                      (16'd0),                                //
385
        .QPLLRSVD2                      ( 5'b11111),                            //
386
 
387
        .QPLLOUTCLK                     (QPLL_QPLLOUTCLK),                      //
388
        .QPLLOUTREFCLK                  (QPLL_QPLLOUTREFCLK),                   //
389
        .QPLLLOCK                       (QPLL_QPLLLOCK),                        //
390
        .QPLLFBCLKLOST                  (),                                     //
391
        .QPLLREFCLKLOST                 (),                                     //
392
        .QPLLDMONITOR                   (),                                     //
393
 
394
        //---------- Reset -----------------------------------------------------
395
        .QPLLPD                         (QPLL_QPLLPD),                          // 
396
        .QPLLRESET                      (QPLL_QPLLRESET),                       //
397
        .QPLLOUTRESET                   ( 1'd0),                                //
398
 
399
        //---------- DRP -------------------------------------------------------
400
        .DRPCLK                         (QPLL_DRPCLK),                          //
401
        .DRPADDR                        (QPLL_DRPADDR),                         //
402
        .DRPEN                          (QPLL_DRPEN),                           //
403
        .DRPDI                          (QPLL_DRPDI),                           //
404
        .DRPWE                          (QPLL_DRPWE),                           //
405
 
406
        .DRPDO                          (QPLL_DRPDO),                           //
407
        .DRPRDY                         (QPLL_DRPRDY),                          //
408
 
409
        //---------- Band Gap --------------------------------------------------    
410
        .BGBYPASSB                      ( 1'd1),                                //
411
        .BGMONITORENB                   ( 1'd1),                                //
412
        .BGPDB                          ( 1'd1),                                //
413
        .BGRCALOVRD                     ( 5'd31),                               //
414
 
415
        //---------- MISC ------------------------------------------------------
416
        .PMARSVD                        ( 8'd0),                                //
417
        .RCALENB                        ( 1'd1),                                // Optimized for GES
418
 
419
        .REFCLKOUTMONITOR               ()                                      //
420
 
421
    );
422
 
423
    end
424
 
425
endgenerate
426
 
427
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.