OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [MyUserLogic/] [PCIe_UserLogic_00.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
 
2
-------------------------------------------------------------------
3
-- System Generator version 12.3 VHDL source file.
4
--
5
-- Copyright(C) 2010 by Xilinx, Inc.  All rights reserved.  This
6
-- text/file contains proprietary, confidential information of Xilinx,
7
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
8
-- copied and/or disclosed only pursuant to the terms of a valid license
9
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
10
-- this text/file solely for design, simulation, implementation and
11
-- creation of design files limited to Xilinx devices or technologies.
12
-- Use with non-Xilinx devices or technologies is expressly prohibited
13
-- and immediately terminates your license unless covered by a separate
14
-- agreement.
15
--
16
-- Xilinx is providing this design, code, or information "as is" solely
17
-- for use in developing programs and solutions for Xilinx devices.  By
18
-- providing this design, code, or information as one possible
19
-- implementation of this feature, application or standard, Xilinx is
20
-- making no representation that this implementation is free from any
21
-- claims of infringement.  You are responsible for obtaining any rights
22
-- you may require for your implementation.  Xilinx expressly disclaims
23
-- any warranty whatsoever with respect to the adequacy of the
24
-- implementation, including but not limited to warranties of
25
-- merchantability or fitness for a particular purpose.
26
--
27
-- Xilinx products are not intended for use in life support appliances,
28
-- devices, or systems.  Use in such applications is expressly prohibited.
29
--
30
-- Any modifications that are made to the source code are done at the user's
31
-- sole risk and will be unsupported.
32
--
33
-- This copyright and support notice must be retained as part of this
34
-- text at all times.  (c) Copyright 1995-2010 Xilinx, Inc.  All rights
35
-- reserved.
36
-------------------------------------------------------------------
37
 
38
-------------------------------------------------------------------
39
-- System Generator version 12.3 VHDL source file.
40
--
41
-- Copyright(C) 2010 by Xilinx, Inc.  All rights reserved.  This
42
-- text/file contains proprietary, confidential information of Xilinx,
43
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
44
-- copied and/or disclosed only pursuant to the terms of a valid license
45
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
46
-- this text/file solely for design, simulation, implementation and
47
-- creation of design files limited to Xilinx devices or technologies.
48
-- Use with non-Xilinx devices or technologies is expressly prohibited
49
-- and immediately terminates your license unless covered by a separate
50
-- agreement.
51
--
52
-- Xilinx is providing this design, code, or information "as is" solely
53
-- for use in developing programs and solutions for Xilinx devices.  By
54
-- providing this design, code, or information as one possible
55
-- implementation of this feature, application or standard, Xilinx is
56
-- making no representation that this implementation is free from any
57
-- claims of infringement.  You are responsible for obtaining any rights
58
-- you may require for your implementation.  Xilinx expressly disclaims
59
-- any warranty whatsoever with respect to the adequacy of the
60
-- implementation, including but not limited to warranties of
61
-- merchantability or fitness for a particular purpose.
62
--
63
-- Xilinx products are not intended for use in life support appliances,
64
-- devices, or systems.  Use in such applications is expressly prohibited.
65
--
66
-- Any modifications that are made to the source code are done at the user's
67
-- sole risk and will be unsupported.
68
--
69
-- This copyright and support notice must be retained as part of this
70
-- text at all times.  (c) Copyright 1995-2010 Xilinx, Inc.  All rights
71
-- reserved.
72
-------------------------------------------------------------------
73
library IEEE;
74
use IEEE.std_logic_1164.all;
75
use IEEE.numeric_std.all;
76
package conv_pkg is
77
    constant simulating : boolean := false
78
      -- synopsys translate_off
79
        or true
80
      -- synopsys translate_on
81
    ;
82
    constant xlUnsigned : integer := 1;
83
    constant xlSigned : integer := 2;
84
    constant xlWrap : integer := 1;
85
    constant xlSaturate : integer := 2;
86
    constant xlTruncate : integer := 1;
87
    constant xlRound : integer := 2;
88
    constant xlRoundBanker : integer := 3;
89
    constant xlAddMode : integer := 1;
90
    constant xlSubMode : integer := 2;
91
    attribute black_box : boolean;
92
    attribute syn_black_box : boolean;
93
    attribute fpga_dont_touch: string;
94
    attribute box_type :  string;
95
    attribute keep : string;
96
    attribute syn_keep : boolean;
97
    function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned;
98
    function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector;
99
    function std_logic_vector_to_signed(inp : std_logic_vector) return signed;
100
    function signed_to_std_logic_vector(inp : signed) return std_logic_vector;
101
    function unsigned_to_signed(inp : unsigned) return signed;
102
    function signed_to_unsigned(inp : signed) return unsigned;
103
    function pos(inp : std_logic_vector; arith : INTEGER) return boolean;
104
    function all_same(inp: std_logic_vector) return boolean;
105
    function all_zeros(inp: std_logic_vector) return boolean;
106
    function is_point_five(inp: std_logic_vector) return boolean;
107
    function all_ones(inp: std_logic_vector) return boolean;
108
    function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
109
                           old_arith, new_width, new_bin_pt, new_arith,
110
                           quantization, overflow : INTEGER)
111
        return std_logic_vector;
112
    function cast (inp : std_logic_vector; old_bin_pt,
113
                   new_width, new_bin_pt, new_arith : INTEGER)
114
        return std_logic_vector;
115
    function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
116
        return std_logic_vector;
117
    function s2u_slice (inp : signed; upper, lower : INTEGER)
118
        return unsigned;
119
    function u2u_slice (inp : unsigned; upper, lower : INTEGER)
120
        return unsigned;
121
    function s2s_cast (inp : signed; old_bin_pt,
122
                   new_width, new_bin_pt : INTEGER)
123
        return signed;
124
    function u2s_cast (inp : unsigned; old_bin_pt,
125
                   new_width, new_bin_pt : INTEGER)
126
        return signed;
127
    function s2u_cast (inp : signed; old_bin_pt,
128
                   new_width, new_bin_pt : INTEGER)
129
        return unsigned;
130
    function u2u_cast (inp : unsigned; old_bin_pt,
131
                   new_width, new_bin_pt : INTEGER)
132
        return unsigned;
133
    function u2v_cast (inp : unsigned; old_bin_pt,
134
                   new_width, new_bin_pt : INTEGER)
135
        return std_logic_vector;
136
    function s2v_cast (inp : signed; old_bin_pt,
137
                   new_width, new_bin_pt : INTEGER)
138
        return std_logic_vector;
139
    function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
140
                    new_width, new_bin_pt, new_arith : INTEGER)
141
        return std_logic_vector;
142
    function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
143
                                old_arith, new_width, new_bin_pt,
144
                                new_arith : INTEGER) return std_logic_vector;
145
    function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
146
                                old_arith, new_width, new_bin_pt,
147
                                new_arith : INTEGER) return std_logic_vector;
148
    function max_signed(width : INTEGER) return std_logic_vector;
149
    function min_signed(width : INTEGER) return std_logic_vector;
150
    function saturation_arith(inp:  std_logic_vector;  old_width, old_bin_pt,
151
                              old_arith, new_width, new_bin_pt, new_arith
152
                              : INTEGER) return std_logic_vector;
153
    function wrap_arith(inp:  std_logic_vector;  old_width, old_bin_pt,
154
                        old_arith, new_width, new_bin_pt, new_arith : INTEGER)
155
                        return std_logic_vector;
156
    function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER;
157
    function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
158
        return INTEGER;
159
    function sign_ext(inp : std_logic_vector; new_width : INTEGER)
160
        return std_logic_vector;
161
    function zero_ext(inp : std_logic_vector; new_width : INTEGER)
162
        return std_logic_vector;
163
    function zero_ext(inp : std_logic; new_width : INTEGER)
164
        return std_logic_vector;
165
    function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
166
        return std_logic_vector;
167
    function align_input(inp : std_logic_vector; old_width, delta, new_arith,
168
                          new_width: INTEGER)
169
        return std_logic_vector;
170
    function pad_LSB(inp : std_logic_vector; new_width: integer)
171
        return std_logic_vector;
172
    function pad_LSB(inp : std_logic_vector; new_width, arith : integer)
173
        return std_logic_vector;
174
    function max(L, R: INTEGER) return INTEGER;
175
    function min(L, R: INTEGER) return INTEGER;
176
    function "="(left,right: STRING) return boolean;
177
    function boolean_to_signed (inp : boolean; width: integer)
178
        return signed;
179
    function boolean_to_unsigned (inp : boolean; width: integer)
180
        return unsigned;
181
    function boolean_to_vector (inp : boolean)
182
        return std_logic_vector;
183
    function std_logic_to_vector (inp : std_logic)
184
        return std_logic_vector;
185
    function integer_to_std_logic_vector (inp : integer;  width, arith : integer)
186
        return std_logic_vector;
187
    function std_logic_vector_to_integer (inp : std_logic_vector;  arith : integer)
188
        return integer;
189
    function std_logic_to_integer(constant inp : std_logic := '0')
190
        return integer;
191
    function bin_string_element_to_std_logic_vector (inp : string;  width, index : integer)
192
        return std_logic_vector;
193
    function bin_string_to_std_logic_vector (inp : string)
194
        return std_logic_vector;
195
    function hex_string_to_std_logic_vector (inp : string; width : integer)
196
        return std_logic_vector;
197
    function makeZeroBinStr (width : integer) return STRING;
198
    function and_reduce(inp: std_logic_vector) return std_logic;
199
    -- synopsys translate_off
200
    function is_binary_string_invalid (inp : string)
201
        return boolean;
202
    function is_binary_string_undefined (inp : string)
203
        return boolean;
204
    function is_XorU(inp : std_logic_vector)
205
        return boolean;
206
    function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
207
        return real;
208
    function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
209
        return real;
210
    function real_to_std_logic_vector (inp : real;  width, bin_pt, arith : integer)
211
        return std_logic_vector;
212
    function real_string_to_std_logic_vector (inp : string;  width, bin_pt, arith : integer)
213
        return std_logic_vector;
214
    constant display_precision : integer := 20;
215
    function real_to_string (inp : real) return string;
216
    function valid_bin_string(inp : string) return boolean;
217
    function std_logic_vector_to_bin_string(inp : std_logic_vector) return string;
218
    function std_logic_to_bin_string(inp : std_logic) return string;
219
    function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
220
        return string;
221
    function real_to_bin_string(inp : real;  width, bin_pt, arith : integer)
222
        return string;
223
    type stdlogic_to_char_t is array(std_logic) of character;
224
    constant to_char : stdlogic_to_char_t := (
225
        'U' => 'U',
226
        'X' => 'X',
227
        '0' => '0',
228
        '1' => '1',
229
        'Z' => 'Z',
230
        'W' => 'W',
231
        'L' => 'L',
232
        'H' => 'H',
233
        '-' => '-');
234
    -- synopsys translate_on
235
end conv_pkg;
236
package body conv_pkg is
237
    function std_logic_vector_to_unsigned(inp : std_logic_vector)
238
        return unsigned
239
    is
240
    begin
241
        return unsigned (inp);
242
    end;
243
    function unsigned_to_std_logic_vector(inp : unsigned)
244
        return std_logic_vector
245
    is
246
    begin
247
        return std_logic_vector(inp);
248
    end;
249
    function std_logic_vector_to_signed(inp : std_logic_vector)
250
        return signed
251
    is
252
    begin
253
        return  signed (inp);
254
    end;
255
    function signed_to_std_logic_vector(inp : signed)
256
        return std_logic_vector
257
    is
258
    begin
259
        return std_logic_vector(inp);
260
    end;
261
    function unsigned_to_signed (inp : unsigned)
262
        return signed
263
    is
264
    begin
265
        return signed(std_logic_vector(inp));
266
    end;
267
    function signed_to_unsigned (inp : signed)
268
        return unsigned
269
    is
270
    begin
271
        return unsigned(std_logic_vector(inp));
272
    end;
273
    function pos(inp : std_logic_vector; arith : INTEGER)
274
        return boolean
275
    is
276
        constant width : integer := inp'length;
277
        variable vec : std_logic_vector(width-1 downto 0);
278
    begin
279
        vec := inp;
280
        if arith = xlUnsigned then
281
            return true;
282
        else
283
            if vec(width-1) = '0' then
284
                return true;
285
            else
286
                return false;
287
            end if;
288
        end if;
289
        return true;
290
    end;
291
    function max_signed(width : INTEGER)
292
        return std_logic_vector
293
    is
294
        variable ones : std_logic_vector(width-2 downto 0);
295
        variable result : std_logic_vector(width-1 downto 0);
296
    begin
297
        ones := (others => '1');
298
        result(width-1) := '0';
299
        result(width-2 downto 0) := ones;
300
        return result;
301
    end;
302
    function min_signed(width : INTEGER)
303
        return std_logic_vector
304
    is
305
        variable zeros : std_logic_vector(width-2 downto 0);
306
        variable result : std_logic_vector(width-1 downto 0);
307
    begin
308
        zeros := (others => '0');
309
        result(width-1) := '1';
310
        result(width-2 downto 0) := zeros;
311
        return result;
312
    end;
313
    function and_reduce(inp: std_logic_vector) return std_logic
314
    is
315
        variable result: std_logic;
316
        constant width : integer := inp'length;
317
        variable vec : std_logic_vector(width-1 downto 0);
318
    begin
319
        vec := inp;
320
        result := vec(0);
321
        if width > 1 then
322
            for i in 1 to width-1 loop
323
                result := result and vec(i);
324
            end loop;
325
        end if;
326
        return result;
327
    end;
328
    function all_same(inp: std_logic_vector) return boolean
329
    is
330
        variable result: boolean;
331
        constant width : integer := inp'length;
332
        variable vec : std_logic_vector(width-1 downto 0);
333
    begin
334
        vec := inp;
335
        result := true;
336
        if width > 0 then
337
            for i in 1 to width-1 loop
338
                if vec(i) /= vec(0) then
339
                    result := false;
340
                end if;
341
            end loop;
342
        end if;
343
        return result;
344
    end;
345
    function all_zeros(inp: std_logic_vector)
346
        return boolean
347
    is
348
        constant width : integer := inp'length;
349
        variable vec : std_logic_vector(width-1 downto 0);
350
        variable zero : std_logic_vector(width-1 downto 0);
351
        variable result : boolean;
352
    begin
353
        zero := (others => '0');
354
        vec := inp;
355
        -- synopsys translate_off
356
        if (is_XorU(vec)) then
357
            return false;
358
        end if;
359
         -- synopsys translate_on
360
        if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then
361
            result := true;
362
        else
363
            result := false;
364
        end if;
365
        return result;
366
    end;
367
    function is_point_five(inp: std_logic_vector)
368
        return boolean
369
    is
370
        constant width : integer := inp'length;
371
        variable vec : std_logic_vector(width-1 downto 0);
372
        variable result : boolean;
373
    begin
374
        vec := inp;
375
        -- synopsys translate_off
376
        if (is_XorU(vec)) then
377
            return false;
378
        end if;
379
         -- synopsys translate_on
380
        if (width > 1) then
381
           if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then
382
               result := true;
383
           else
384
               result := false;
385
           end if;
386
        else
387
           if (vec(width-1) = '1') then
388
               result := true;
389
           else
390
               result := false;
391
           end if;
392
        end if;
393
        return result;
394
    end;
395
    function all_ones(inp: std_logic_vector)
396
        return boolean
397
    is
398
        constant width : integer := inp'length;
399
        variable vec : std_logic_vector(width-1 downto 0);
400
        variable one : std_logic_vector(width-1 downto 0);
401
        variable result : boolean;
402
    begin
403
        one := (others => '1');
404
        vec := inp;
405
        -- synopsys translate_off
406
        if (is_XorU(vec)) then
407
            return false;
408
        end if;
409
         -- synopsys translate_on
410
        if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then
411
            result := true;
412
        else
413
            result := false;
414
        end if;
415
        return result;
416
    end;
417
    function full_precision_num_width(quantization, overflow, old_width,
418
                                      old_bin_pt, old_arith,
419
                                      new_width, new_bin_pt, new_arith : INTEGER)
420
        return integer
421
    is
422
        variable result : integer;
423
    begin
424
        result := old_width + 2;
425
        return result;
426
    end;
427
    function quantized_num_width(quantization, overflow, old_width, old_bin_pt,
428
                                 old_arith, new_width, new_bin_pt, new_arith
429
                                 : INTEGER)
430
        return integer
431
    is
432
        variable right_of_dp, left_of_dp, result : integer;
433
    begin
434
        right_of_dp := max(new_bin_pt, old_bin_pt);
435
        left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt));
436
        result := (old_width + 2) + (new_bin_pt - old_bin_pt);
437
        return result;
438
    end;
439
    function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
440
                           old_arith, new_width, new_bin_pt, new_arith,
441
                           quantization, overflow : INTEGER)
442
        return std_logic_vector
443
    is
444
        constant fp_width : integer :=
445
            full_precision_num_width(quantization, overflow, old_width,
446
                                     old_bin_pt, old_arith, new_width,
447
                                     new_bin_pt, new_arith);
448
        constant fp_bin_pt : integer := old_bin_pt;
449
        constant fp_arith : integer := old_arith;
450
        variable full_precision_result : std_logic_vector(fp_width-1 downto 0);
451
        constant q_width : integer :=
452
            quantized_num_width(quantization, overflow, old_width, old_bin_pt,
453
                                old_arith, new_width, new_bin_pt, new_arith);
454
        constant q_bin_pt : integer := new_bin_pt;
455
        constant q_arith : integer := old_arith;
456
        variable quantized_result : std_logic_vector(q_width-1 downto 0);
457
        variable result : std_logic_vector(new_width-1 downto 0);
458
    begin
459
        result := (others => '0');
460
        full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt,
461
                                      fp_arith);
462
        if (quantization = xlRound) then
463
            quantized_result := round_towards_inf(full_precision_result,
464
                                                  fp_width, fp_bin_pt,
465
                                                  fp_arith, q_width, q_bin_pt,
466
                                                  q_arith);
467
        elsif (quantization = xlRoundBanker) then
468
            quantized_result := round_towards_even(full_precision_result,
469
                                                  fp_width, fp_bin_pt,
470
                                                  fp_arith, q_width, q_bin_pt,
471
                                                  q_arith);
472
        else
473
            quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt,
474
                                      fp_arith, q_width, q_bin_pt, q_arith);
475
        end if;
476
        if (overflow = xlSaturate) then
477
            result := saturation_arith(quantized_result, q_width, q_bin_pt,
478
                                       q_arith, new_width, new_bin_pt, new_arith);
479
        else
480
             result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith,
481
                                  new_width, new_bin_pt, new_arith);
482
        end if;
483
        return result;
484
    end;
485
    function cast (inp : std_logic_vector; old_bin_pt, new_width,
486
                   new_bin_pt, new_arith : INTEGER)
487
        return std_logic_vector
488
    is
489
        constant old_width : integer := inp'length;
490
        constant left_of_dp : integer := (new_width - new_bin_pt)
491
                                         - (old_width - old_bin_pt);
492
        constant right_of_dp : integer := (new_bin_pt - old_bin_pt);
493
        variable vec : std_logic_vector(old_width-1 downto 0);
494
        variable result : std_logic_vector(new_width-1 downto 0);
495
        variable j   : integer;
496
    begin
497
        vec := inp;
498
        for i in new_width-1 downto 0 loop
499
            j := i - right_of_dp;
500
            if ( j > old_width-1) then
501
                if (new_arith = xlUnsigned) then
502
                    result(i) := '0';
503
                else
504
                    result(i) := vec(old_width-1);
505
                end if;
506
            elsif ( j >= 0) then
507
                result(i) := vec(j);
508
            else
509
                result(i) := '0';
510
            end if;
511
        end loop;
512
        return result;
513
    end;
514
    function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
515
      return std_logic_vector
516
    is
517
    begin
518
        return inp(upper downto lower);
519
    end;
520
    function s2u_slice (inp : signed; upper, lower : INTEGER)
521
      return unsigned
522
    is
523
    begin
524
        return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
525
    end;
526
    function u2u_slice (inp : unsigned; upper, lower : INTEGER)
527
      return unsigned
528
    is
529
    begin
530
        return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
531
    end;
532
    function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER)
533
        return signed
534
    is
535
    begin
536
        return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
537
    end;
538
    function s2u_cast (inp : signed; old_bin_pt, new_width,
539
                   new_bin_pt : INTEGER)
540
        return unsigned
541
    is
542
    begin
543
        return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
544
    end;
545
    function u2s_cast (inp : unsigned; old_bin_pt, new_width,
546
                   new_bin_pt : INTEGER)
547
        return signed
548
    is
549
    begin
550
        return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
551
    end;
552
    function u2u_cast (inp : unsigned; old_bin_pt, new_width,
553
                   new_bin_pt : INTEGER)
554
        return unsigned
555
    is
556
    begin
557
        return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
558
    end;
559
    function u2v_cast (inp : unsigned; old_bin_pt, new_width,
560
                   new_bin_pt : INTEGER)
561
        return std_logic_vector
562
    is
563
    begin
564
        return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned);
565
    end;
566
    function s2v_cast (inp : signed; old_bin_pt, new_width,
567
                   new_bin_pt : INTEGER)
568
        return std_logic_vector
569
    is
570
    begin
571
        return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned);
572
    end;
573
    function boolean_to_signed (inp : boolean; width : integer)
574
        return signed
575
    is
576
        variable result : signed(width - 1 downto 0);
577
    begin
578
        result := (others => '0');
579
        if inp then
580
          result(0) := '1';
581
        else
582
          result(0) := '0';
583
        end if;
584
        return result;
585
    end;
586
    function boolean_to_unsigned (inp : boolean; width : integer)
587
        return unsigned
588
    is
589
        variable result : unsigned(width - 1 downto 0);
590
    begin
591
        result := (others => '0');
592
        if inp then
593
          result(0) := '1';
594
        else
595
          result(0) := '0';
596
        end if;
597
        return result;
598
    end;
599
    function boolean_to_vector (inp : boolean)
600
        return std_logic_vector
601
    is
602
        variable result : std_logic_vector(1 - 1 downto 0);
603
    begin
604
        result := (others => '0');
605
        if inp then
606
          result(0) := '1';
607
        else
608
          result(0) := '0';
609
        end if;
610
        return result;
611
    end;
612
    function std_logic_to_vector (inp : std_logic)
613
        return std_logic_vector
614
    is
615
        variable result : std_logic_vector(1 - 1 downto 0);
616
    begin
617
        result(0) := inp;
618
        return result;
619
    end;
620
    function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
621
                                new_width, new_bin_pt, new_arith : INTEGER)
622
        return std_logic_vector
623
    is
624
        constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
625
        variable vec : std_logic_vector(old_width-1 downto 0);
626
        variable result : std_logic_vector(new_width-1 downto 0);
627
    begin
628
        vec := inp;
629
        if right_of_dp >= 0 then
630
            if new_arith = xlUnsigned then
631
                result := zero_ext(vec(old_width-1 downto right_of_dp), new_width);
632
            else
633
                result := sign_ext(vec(old_width-1 downto right_of_dp), new_width);
634
            end if;
635
        else
636
            if new_arith = xlUnsigned then
637
                result := zero_ext(pad_LSB(vec, old_width +
638
                                           abs(right_of_dp)), new_width);
639
            else
640
                result := sign_ext(pad_LSB(vec, old_width +
641
                                           abs(right_of_dp)), new_width);
642
            end if;
643
        end if;
644
        return result;
645
    end;
646
    function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
647
                                old_arith, new_width, new_bin_pt, new_arith
648
                                : INTEGER)
649
        return std_logic_vector
650
    is
651
        constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
652
        constant expected_new_width : integer :=  old_width - right_of_dp  + 1;
653
        variable vec : std_logic_vector(old_width-1 downto 0);
654
        variable one_or_zero : std_logic_vector(new_width-1 downto 0);
655
        variable truncated_val : std_logic_vector(new_width-1 downto 0);
656
        variable result : std_logic_vector(new_width-1 downto 0);
657
    begin
658
        vec := inp;
659
        if right_of_dp >= 0 then
660
            if new_arith = xlUnsigned then
661
                truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
662
                                          new_width);
663
            else
664
                truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
665
                                          new_width);
666
            end if;
667
        else
668
            if new_arith = xlUnsigned then
669
                truncated_val := zero_ext(pad_LSB(vec, old_width +
670
                                                  abs(right_of_dp)), new_width);
671
            else
672
                truncated_val := sign_ext(pad_LSB(vec, old_width +
673
                                                  abs(right_of_dp)), new_width);
674
            end if;
675
        end if;
676
        one_or_zero := (others => '0');
677
        if (new_arith = xlSigned) then
678
            if (vec(old_width-1) = '0') then
679
                one_or_zero(0) := '1';
680
            end if;
681
            if (right_of_dp >= 2) and (right_of_dp <= old_width) then
682
                if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then
683
                    one_or_zero(0) := '1';
684
                end if;
685
            end if;
686
            if (right_of_dp >= 1) and (right_of_dp <= old_width) then
687
                if vec(right_of_dp-1) = '0' then
688
                    one_or_zero(0) := '0';
689
                end if;
690
            else
691
                one_or_zero(0) := '0';
692
            end if;
693
        else
694
            if (right_of_dp >= 1) and (right_of_dp <= old_width) then
695
                one_or_zero(0) :=  vec(right_of_dp-1);
696
            end if;
697
        end if;
698
        if new_arith = xlSigned then
699
            result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
700
                                                 std_logic_vector_to_signed(one_or_zero));
701
        else
702
            result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
703
                                                  std_logic_vector_to_unsigned(one_or_zero));
704
        end if;
705
        return result;
706
    end;
707
    function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
708
                                old_arith, new_width, new_bin_pt, new_arith
709
                                : INTEGER)
710
        return std_logic_vector
711
    is
712
        constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
713
        constant expected_new_width : integer :=  old_width - right_of_dp  + 1;
714
        variable vec : std_logic_vector(old_width-1 downto 0);
715
        variable one_or_zero : std_logic_vector(new_width-1 downto 0);
716
        variable truncated_val : std_logic_vector(new_width-1 downto 0);
717
        variable result : std_logic_vector(new_width-1 downto 0);
718
    begin
719
        vec := inp;
720
        if right_of_dp >= 0 then
721
            if new_arith = xlUnsigned then
722
                truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
723
                                          new_width);
724
            else
725
                truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
726
                                          new_width);
727
            end if;
728
        else
729
            if new_arith = xlUnsigned then
730
                truncated_val := zero_ext(pad_LSB(vec, old_width +
731
                                                  abs(right_of_dp)), new_width);
732
            else
733
                truncated_val := sign_ext(pad_LSB(vec, old_width +
734
                                                  abs(right_of_dp)), new_width);
735
            end if;
736
        end if;
737
        one_or_zero := (others => '0');
738
        if (right_of_dp >= 1) and (right_of_dp <= old_width) then
739
            if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then
740
                one_or_zero(0) :=  vec(right_of_dp-1);
741
            else
742
                one_or_zero(0) :=  vec(right_of_dp);
743
            end if;
744
        end if;
745
        if new_arith = xlSigned then
746
            result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
747
                                                 std_logic_vector_to_signed(one_or_zero));
748
        else
749
            result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
750
                                                  std_logic_vector_to_unsigned(one_or_zero));
751
        end if;
752
        return result;
753
    end;
754
    function saturation_arith(inp:  std_logic_vector;  old_width, old_bin_pt,
755
                              old_arith, new_width, new_bin_pt, new_arith
756
                              : INTEGER)
757
        return std_logic_vector
758
    is
759
        constant left_of_dp : integer := (old_width - old_bin_pt) -
760
                                         (new_width - new_bin_pt);
761
        variable vec : std_logic_vector(old_width-1 downto 0);
762
        variable result : std_logic_vector(new_width-1 downto 0);
763
        variable overflow : boolean;
764
    begin
765
        vec := inp;
766
        overflow := true;
767
        result := (others => '0');
768
        if (new_width >= old_width) then
769
            overflow := false;
770
        end if;
771
        if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then
772
            if all_same(vec(old_width-1 downto new_width-1)) then
773
                overflow := false;
774
            end if;
775
        end if;
776
        if (old_arith = xlSigned and new_arith = xlUnsigned) then
777
            if (old_width > new_width) then
778
                if all_zeros(vec(old_width-1 downto new_width)) then
779
                    overflow := false;
780
                end if;
781
            else
782
                if (old_width = new_width) then
783
                    if (vec(new_width-1) = '0') then
784
                        overflow := false;
785
                    end if;
786
                end if;
787
            end if;
788
        end if;
789
        if (old_arith = xlUnsigned and new_arith = xlUnsigned) then
790
            if (old_width > new_width) then
791
                if all_zeros(vec(old_width-1 downto new_width)) then
792
                    overflow := false;
793
                end if;
794
            else
795
                if (old_width = new_width) then
796
                    overflow := false;
797
                end if;
798
            end if;
799
        end if;
800
        if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then
801
            if all_same(vec(old_width-1 downto new_width-1)) then
802
                overflow := false;
803
            end if;
804
        end if;
805
        if overflow then
806
            if new_arith = xlSigned then
807
                if vec(old_width-1) = '0' then
808
                    result := max_signed(new_width);
809
                else
810
                    result := min_signed(new_width);
811
                end if;
812
            else
813
                if ((old_arith = xlSigned) and vec(old_width-1) = '1') then
814
                    result := (others => '0');
815
                else
816
                    result := (others => '1');
817
                end if;
818
            end if;
819
        else
820
            if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
821
                if (vec(old_width-1) = '1') then
822
                    vec := (others => '0');
823
                end if;
824
            end if;
825
            if new_width <= old_width then
826
                result := vec(new_width-1 downto 0);
827
            else
828
                if new_arith = xlUnsigned then
829
                    result := zero_ext(vec, new_width);
830
                else
831
                    result := sign_ext(vec, new_width);
832
                end if;
833
            end if;
834
        end if;
835
        return result;
836
    end;
837
   function wrap_arith(inp:  std_logic_vector;  old_width, old_bin_pt,
838
                       old_arith, new_width, new_bin_pt, new_arith : INTEGER)
839
        return std_logic_vector
840
    is
841
        variable result : std_logic_vector(new_width-1 downto 0);
842
        variable result_arith : integer;
843
    begin
844
        if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
845
            result_arith := xlSigned;
846
        end if;
847
        result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith);
848
        return result;
849
    end;
850
    function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is
851
    begin
852
        return max(a_bin_pt, b_bin_pt);
853
    end;
854
    function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
855
        return INTEGER is
856
    begin
857
        return  max(a_width - a_bin_pt, b_width - b_bin_pt);
858
    end;
859
    function pad_LSB(inp : std_logic_vector; new_width: integer)
860
        return STD_LOGIC_VECTOR
861
    is
862
        constant orig_width : integer := inp'length;
863
        variable vec : std_logic_vector(orig_width-1 downto 0);
864
        variable result : std_logic_vector(new_width-1 downto 0);
865
        variable pos : integer;
866
        constant pad_pos : integer := new_width - orig_width - 1;
867
    begin
868
        vec := inp;
869
        pos := new_width-1;
870
        if (new_width >= orig_width) then
871
            for i in orig_width-1 downto 0 loop
872
                result(pos) := vec(i);
873
                pos := pos - 1;
874
            end loop;
875
            if pad_pos >= 0 then
876
                for i in pad_pos downto 0 loop
877
                    result(i) := '0';
878
                end loop;
879
            end if;
880
        end if;
881
        return result;
882
    end;
883
    function sign_ext(inp : std_logic_vector; new_width : INTEGER)
884
        return std_logic_vector
885
    is
886
        constant old_width : integer := inp'length;
887
        variable vec : std_logic_vector(old_width-1 downto 0);
888
        variable result : std_logic_vector(new_width-1 downto 0);
889
    begin
890
        vec := inp;
891
        if new_width >= old_width then
892
            result(old_width-1 downto 0) := vec;
893
            if new_width-1 >= old_width then
894
                for i in new_width-1 downto old_width loop
895
                    result(i) := vec(old_width-1);
896
                end loop;
897
            end if;
898
        else
899
            result(new_width-1 downto 0) := vec(new_width-1 downto 0);
900
        end if;
901
        return result;
902
    end;
903
    function zero_ext(inp : std_logic_vector; new_width : INTEGER)
904
        return std_logic_vector
905
    is
906
        constant old_width : integer := inp'length;
907
        variable vec : std_logic_vector(old_width-1 downto 0);
908
        variable result : std_logic_vector(new_width-1 downto 0);
909
    begin
910
        vec := inp;
911
        if new_width >= old_width then
912
            result(old_width-1 downto 0) := vec;
913
            if new_width-1 >= old_width then
914
                for i in new_width-1 downto old_width loop
915
                    result(i) := '0';
916
                end loop;
917
            end if;
918
        else
919
            result(new_width-1 downto 0) := vec(new_width-1 downto 0);
920
        end if;
921
        return result;
922
    end;
923
    function zero_ext(inp : std_logic; new_width : INTEGER)
924
        return std_logic_vector
925
    is
926
        variable result : std_logic_vector(new_width-1 downto 0);
927
    begin
928
        result(0) := inp;
929
        for i in new_width-1 downto 1 loop
930
            result(i) := '0';
931
        end loop;
932
        return result;
933
    end;
934
    function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
935
        return std_logic_vector
936
    is
937
        constant orig_width : integer := inp'length;
938
        variable vec : std_logic_vector(orig_width-1 downto 0);
939
        variable result : std_logic_vector(new_width-1 downto 0);
940
    begin
941
        vec := inp;
942
        if arith = xlUnsigned then
943
            result := zero_ext(vec, new_width);
944
        else
945
            result := sign_ext(vec, new_width);
946
        end if;
947
        return result;
948
    end;
949
    function pad_LSB(inp : std_logic_vector; new_width, arith: integer)
950
        return STD_LOGIC_VECTOR
951
    is
952
        constant orig_width : integer := inp'length;
953
        variable vec : std_logic_vector(orig_width-1 downto 0);
954
        variable result : std_logic_vector(new_width-1 downto 0);
955
        variable pos : integer;
956
    begin
957
        vec := inp;
958
        pos := new_width-1;
959
        if (arith = xlUnsigned) then
960
            result(pos) := '0';
961
            pos := pos - 1;
962
        else
963
            result(pos) := vec(orig_width-1);
964
            pos := pos - 1;
965
        end if;
966
        if (new_width >= orig_width) then
967
            for i in orig_width-1 downto 0 loop
968
                result(pos) := vec(i);
969
                pos := pos - 1;
970
            end loop;
971
            if pos >= 0 then
972
                for i in pos downto 0 loop
973
                    result(i) := '0';
974
                end loop;
975
            end if;
976
        end if;
977
        return result;
978
    end;
979
    function align_input(inp : std_logic_vector; old_width, delta, new_arith,
980
                         new_width: INTEGER)
981
        return std_logic_vector
982
    is
983
        variable vec : std_logic_vector(old_width-1 downto 0);
984
        variable padded_inp : std_logic_vector((old_width + delta)-1  downto 0);
985
        variable result : std_logic_vector(new_width-1 downto 0);
986
    begin
987
        vec := inp;
988
        if delta > 0 then
989
            padded_inp := pad_LSB(vec, old_width+delta);
990
            result := extend_MSB(padded_inp, new_width, new_arith);
991
        else
992
            result := extend_MSB(vec, new_width, new_arith);
993
        end if;
994
        return result;
995
    end;
996
    function max(L, R: INTEGER) return INTEGER is
997
    begin
998
        if L > R then
999
            return L;
1000
        else
1001
            return R;
1002
        end if;
1003
    end;
1004
    function min(L, R: INTEGER) return INTEGER is
1005
    begin
1006
        if L < R then
1007
            return L;
1008
        else
1009
            return R;
1010
        end if;
1011
    end;
1012
    function "="(left,right: STRING) return boolean is
1013
    begin
1014
        if (left'length /= right'length) then
1015
            return false;
1016
        else
1017
            test : for i in 1 to left'length loop
1018
                if left(i) /= right(i) then
1019
                    return false;
1020
                end if;
1021
            end loop test;
1022
            return true;
1023
        end if;
1024
    end;
1025
    -- synopsys translate_off
1026
    function is_binary_string_invalid (inp : string)
1027
        return boolean
1028
    is
1029
        variable vec : string(1 to inp'length);
1030
        variable result : boolean;
1031
    begin
1032
        vec := inp;
1033
        result := false;
1034
        for i in 1 to vec'length loop
1035
            if ( vec(i) = 'X' ) then
1036
                result := true;
1037
            end if;
1038
        end loop;
1039
        return result;
1040
    end;
1041
    function is_binary_string_undefined (inp : string)
1042
        return boolean
1043
    is
1044
        variable vec : string(1 to inp'length);
1045
        variable result : boolean;
1046
    begin
1047
        vec := inp;
1048
        result := false;
1049
        for i in 1 to vec'length loop
1050
            if ( vec(i) = 'U' ) then
1051
                result := true;
1052
            end if;
1053
        end loop;
1054
        return result;
1055
    end;
1056
    function is_XorU(inp : std_logic_vector)
1057
        return boolean
1058
    is
1059
        constant width : integer := inp'length;
1060
        variable vec : std_logic_vector(width-1 downto 0);
1061
        variable result : boolean;
1062
    begin
1063
        vec := inp;
1064
        result := false;
1065
        for i in 0 to width-1 loop
1066
            if (vec(i) = 'U') or (vec(i) = 'X') then
1067
                result := true;
1068
            end if;
1069
        end loop;
1070
        return result;
1071
    end;
1072
    function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
1073
        return real
1074
    is
1075
        variable  vec : std_logic_vector(inp'length-1 downto 0);
1076
        variable result, shift_val, undefined_real : real;
1077
        variable neg_num : boolean;
1078
    begin
1079
        vec := inp;
1080
        result := 0.0;
1081
        neg_num := false;
1082
        if vec(inp'length-1) = '1' then
1083
            neg_num := true;
1084
        end if;
1085
        for i in 0 to inp'length-1 loop
1086
            if  vec(i) = 'U' or vec(i) = 'X' then
1087
                return undefined_real;
1088
            end if;
1089
            if arith = xlSigned then
1090
                if neg_num then
1091
                    if vec(i) = '0' then
1092
                        result := result + 2.0**i;
1093
                    end if;
1094
                else
1095
                    if vec(i) = '1' then
1096
                        result := result + 2.0**i;
1097
                    end if;
1098
                end if;
1099
            else
1100
                if vec(i) = '1' then
1101
                    result := result + 2.0**i;
1102
                end if;
1103
            end if;
1104
        end loop;
1105
        if arith = xlSigned then
1106
            if neg_num then
1107
                result := result + 1.0;
1108
                result := result * (-1.0);
1109
            end if;
1110
        end if;
1111
        shift_val := 2.0**(-1*bin_pt);
1112
        result := result * shift_val;
1113
        return result;
1114
    end;
1115
    function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
1116
        return real
1117
    is
1118
        variable result : real := 0.0;
1119
    begin
1120
        if inp = '1' then
1121
            result := 1.0;
1122
        end if;
1123
        if arith = xlSigned then
1124
            assert false
1125
                report "It doesn't make sense to convert a 1 bit number to a signed real.";
1126
        end if;
1127
        return result;
1128
    end;
1129
    -- synopsys translate_on
1130
    function integer_to_std_logic_vector (inp : integer;  width, arith : integer)
1131
        return std_logic_vector
1132
    is
1133
        variable result : std_logic_vector(width-1 downto 0);
1134
        variable unsigned_val : unsigned(width-1 downto 0);
1135
        variable signed_val : signed(width-1 downto 0);
1136
    begin
1137
        if (arith = xlSigned) then
1138
            signed_val := to_signed(inp, width);
1139
            result := signed_to_std_logic_vector(signed_val);
1140
        else
1141
            unsigned_val := to_unsigned(inp, width);
1142
            result := unsigned_to_std_logic_vector(unsigned_val);
1143
        end if;
1144
        return result;
1145
    end;
1146
    function std_logic_vector_to_integer (inp : std_logic_vector;  arith : integer)
1147
        return integer
1148
    is
1149
        constant width : integer := inp'length;
1150
        variable unsigned_val : unsigned(width-1 downto 0);
1151
        variable signed_val : signed(width-1 downto 0);
1152
        variable result : integer;
1153
    begin
1154
        if (arith = xlSigned) then
1155
            signed_val := std_logic_vector_to_signed(inp);
1156
            result := to_integer(signed_val);
1157
        else
1158
            unsigned_val := std_logic_vector_to_unsigned(inp);
1159
            result := to_integer(unsigned_val);
1160
        end if;
1161
        return result;
1162
    end;
1163
    function std_logic_to_integer(constant inp : std_logic := '0')
1164
        return integer
1165
    is
1166
    begin
1167
        if inp = '1' then
1168
            return 1;
1169
        else
1170
            return 0;
1171
        end if;
1172
    end;
1173
    function makeZeroBinStr (width : integer) return STRING is
1174
        variable result : string(1 to width+3);
1175
    begin
1176
        result(1) := '0';
1177
        result(2) := 'b';
1178
        for i in 3 to width+2 loop
1179
            result(i) := '0';
1180
        end loop;
1181
        result(width+3) := '.';
1182
        return result;
1183
    end;
1184
    -- synopsys translate_off
1185
    function real_string_to_std_logic_vector (inp : string;  width, bin_pt, arith : integer)
1186
        return std_logic_vector
1187
    is
1188
        variable result : std_logic_vector(width-1 downto 0);
1189
    begin
1190
        result := (others => '0');
1191
        return result;
1192
    end;
1193
    function real_to_std_logic_vector (inp : real;  width, bin_pt, arith : integer)
1194
        return std_logic_vector
1195
    is
1196
        variable real_val : real;
1197
        variable int_val : integer;
1198
        variable result : std_logic_vector(width-1 downto 0) := (others => '0');
1199
        variable unsigned_val : unsigned(width-1 downto 0) := (others => '0');
1200
        variable signed_val : signed(width-1 downto 0) := (others => '0');
1201
    begin
1202
        real_val := inp;
1203
        int_val := integer(real_val * 2.0**(bin_pt));
1204
        if (arith = xlSigned) then
1205
            signed_val := to_signed(int_val, width);
1206
            result := signed_to_std_logic_vector(signed_val);
1207
        else
1208
            unsigned_val := to_unsigned(int_val, width);
1209
            result := unsigned_to_std_logic_vector(unsigned_val);
1210
        end if;
1211
        return result;
1212
    end;
1213
    -- synopsys translate_on
1214
    function valid_bin_string (inp : string)
1215
        return boolean
1216
    is
1217
        variable vec : string(1 to inp'length);
1218
    begin
1219
        vec := inp;
1220
        if (vec(1) = '0' and vec(2) = 'b') then
1221
            return true;
1222
        else
1223
            return false;
1224
        end if;
1225
    end;
1226
    function hex_string_to_std_logic_vector(inp: string; width : integer)
1227
        return std_logic_vector is
1228
        constant strlen       : integer := inp'LENGTH;
1229
        variable result       : std_logic_vector(width-1 downto 0);
1230
        variable bitval       : std_logic_vector((strlen*4)-1 downto 0);
1231
        variable posn         : integer;
1232
        variable ch           : character;
1233
        variable vec          : string(1 to strlen);
1234
    begin
1235
        vec := inp;
1236
        result := (others => '0');
1237
        posn := (strlen*4)-1;
1238
        for i in 1 to strlen loop
1239
            ch := vec(i);
1240
            case ch is
1241
                when '0' => bitval(posn downto posn-3) := "0000";
1242
                when '1' => bitval(posn downto posn-3) := "0001";
1243
                when '2' => bitval(posn downto posn-3) := "0010";
1244
                when '3' => bitval(posn downto posn-3) := "0011";
1245
                when '4' => bitval(posn downto posn-3) := "0100";
1246
                when '5' => bitval(posn downto posn-3) := "0101";
1247
                when '6' => bitval(posn downto posn-3) := "0110";
1248
                when '7' => bitval(posn downto posn-3) := "0111";
1249
                when '8' => bitval(posn downto posn-3) := "1000";
1250
                when '9' => bitval(posn downto posn-3) := "1001";
1251
                when 'A' | 'a' => bitval(posn downto posn-3) := "1010";
1252
                when 'B' | 'b' => bitval(posn downto posn-3) := "1011";
1253
                when 'C' | 'c' => bitval(posn downto posn-3) := "1100";
1254
                when 'D' | 'd' => bitval(posn downto posn-3) := "1101";
1255
                when 'E' | 'e' => bitval(posn downto posn-3) := "1110";
1256
                when 'F' | 'f' => bitval(posn downto posn-3) := "1111";
1257
                when others => bitval(posn downto posn-3) := "XXXX";
1258
                               -- synopsys translate_off
1259
                               ASSERT false
1260
                                   REPORT "Invalid hex value" SEVERITY ERROR;
1261
                               -- synopsys translate_on
1262
            end case;
1263
            posn := posn - 4;
1264
        end loop;
1265
        if (width <= strlen*4) then
1266
            result :=  bitval(width-1 downto 0);
1267
        else
1268
            result((strlen*4)-1 downto 0) := bitval;
1269
        end if;
1270
        return result;
1271
    end;
1272
    function bin_string_to_std_logic_vector (inp : string)
1273
        return std_logic_vector
1274
    is
1275
        variable pos : integer;
1276
        variable vec : string(1 to inp'length);
1277
        variable result : std_logic_vector(inp'length-1 downto 0);
1278
    begin
1279
        vec := inp;
1280
        pos := inp'length-1;
1281
        result := (others => '0');
1282
        for i in 1 to vec'length loop
1283
            -- synopsys translate_off
1284
            if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U')  then
1285
                assert false
1286
                    report "Input string is larger than output std_logic_vector. Truncating output.";
1287
                return result;
1288
            end if;
1289
            -- synopsys translate_on
1290
            if vec(i) = '0' then
1291
                result(pos) := '0';
1292
                pos := pos - 1;
1293
            end if;
1294
            if vec(i) = '1' then
1295
                result(pos) := '1';
1296
                pos := pos - 1;
1297
            end if;
1298
            -- synopsys translate_off
1299
            if (vec(i) = 'X' or vec(i) = 'U') then
1300
                result(pos) := 'U';
1301
                pos := pos - 1;
1302
            end if;
1303
            -- synopsys translate_on
1304
        end loop;
1305
        return result;
1306
    end;
1307
    function bin_string_element_to_std_logic_vector (inp : string;  width, index : integer)
1308
        return std_logic_vector
1309
    is
1310
        constant str_width : integer := width + 4;
1311
        constant inp_len : integer := inp'length;
1312
        constant num_elements : integer := (inp_len + 1)/str_width;
1313
        constant reverse_index : integer := (num_elements-1) - index;
1314
        variable left_pos : integer;
1315
        variable right_pos : integer;
1316
        variable vec : string(1 to inp'length);
1317
        variable result : std_logic_vector(width-1 downto 0);
1318
    begin
1319
        vec := inp;
1320
        result := (others => '0');
1321
        if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
1322
            left_pos := 1;
1323
            right_pos := width + 3;
1324
            result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
1325
        end if;
1326
        if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
1327
            left_pos := (reverse_index * str_width) + 1;
1328
            right_pos := left_pos + width + 2;
1329
            result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
1330
        end if;
1331
        return result;
1332
    end;
1333
   -- synopsys translate_off
1334
    function std_logic_vector_to_bin_string(inp : std_logic_vector)
1335
        return string
1336
    is
1337
        variable vec : std_logic_vector(1 to inp'length);
1338
        variable result : string(vec'range);
1339
    begin
1340
        vec := inp;
1341
        for i in vec'range loop
1342
            result(i) := to_char(vec(i));
1343
        end loop;
1344
        return result;
1345
    end;
1346
    function std_logic_to_bin_string(inp : std_logic)
1347
        return string
1348
    is
1349
        variable result : string(1 to 3);
1350
    begin
1351
        result(1) := '0';
1352
        result(2) := 'b';
1353
        result(3) := to_char(inp);
1354
        return result;
1355
    end;
1356
    function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
1357
        return string
1358
    is
1359
        variable width : integer := inp'length;
1360
        variable vec : std_logic_vector(width-1 downto 0);
1361
        variable str_pos : integer;
1362
        variable result : string(1 to width+3);
1363
    begin
1364
        vec := inp;
1365
        str_pos := 1;
1366
        result(str_pos) := '0';
1367
        str_pos := 2;
1368
        result(str_pos) := 'b';
1369
        str_pos := 3;
1370
        for i in width-1 downto 0  loop
1371
            if (((width+3) - bin_pt) = str_pos) then
1372
                result(str_pos) := '.';
1373
                str_pos := str_pos + 1;
1374
            end if;
1375
            result(str_pos) := to_char(vec(i));
1376
            str_pos := str_pos + 1;
1377
        end loop;
1378
        if (bin_pt = 0) then
1379
            result(str_pos) := '.';
1380
        end if;
1381
        return result;
1382
    end;
1383
    function real_to_bin_string(inp : real;  width, bin_pt, arith : integer)
1384
        return string
1385
    is
1386
        variable result : string(1 to width);
1387
        variable vec : std_logic_vector(width-1 downto 0);
1388
    begin
1389
        vec := real_to_std_logic_vector(inp, width, bin_pt, arith);
1390
        result := std_logic_vector_to_bin_string(vec);
1391
        return result;
1392
    end;
1393
    function real_to_string (inp : real) return string
1394
    is
1395
        variable result : string(1 to display_precision) := (others => ' ');
1396
    begin
1397
        result(real'image(inp)'range) := real'image(inp);
1398
        return result;
1399
    end;
1400
    -- synopsys translate_on
1401
end conv_pkg;
1402
 
1403
-------------------------------------------------------------------
1404
-- System Generator version 12.3 VHDL source file.
1405
--
1406
-- Copyright(C) 2010 by Xilinx, Inc.  All rights reserved.  This
1407
-- text/file contains proprietary, confidential information of Xilinx,
1408
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
1409
-- copied and/or disclosed only pursuant to the terms of a valid license
1410
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
1411
-- this text/file solely for design, simulation, implementation and
1412
-- creation of design files limited to Xilinx devices or technologies.
1413
-- Use with non-Xilinx devices or technologies is expressly prohibited
1414
-- and immediately terminates your license unless covered by a separate
1415
-- agreement.
1416
--
1417
-- Xilinx is providing this design, code, or information "as is" solely
1418
-- for use in developing programs and solutions for Xilinx devices.  By
1419
-- providing this design, code, or information as one possible
1420
-- implementation of this feature, application or standard, Xilinx is
1421
-- making no representation that this implementation is free from any
1422
-- claims of infringement.  You are responsible for obtaining any rights
1423
-- you may require for your implementation.  Xilinx expressly disclaims
1424
-- any warranty whatsoever with respect to the adequacy of the
1425
-- implementation, including but not limited to warranties of
1426
-- merchantability or fitness for a particular purpose.
1427
--
1428
-- Xilinx products are not intended for use in life support appliances,
1429
-- devices, or systems.  Use in such applications is expressly prohibited.
1430
--
1431
-- Any modifications that are made to the source code are done at the user's
1432
-- sole risk and will be unsupported.
1433
--
1434
-- This copyright and support notice must be retained as part of this
1435
-- text at all times.  (c) Copyright 1995-2010 Xilinx, Inc.  All rights
1436
-- reserved.
1437
-------------------------------------------------------------------
1438
-- synopsys translate_off
1439
library unisim;
1440
use unisim.vcomponents.all;
1441
-- synopsys translate_on
1442
library IEEE;
1443
use IEEE.std_logic_1164.all;
1444
use work.conv_pkg.all;
1445
entity single_reg_w_init is
1446
  generic (
1447
    width: integer := 8;
1448
    init_index: integer := 0;
1449
    init_value: bit_vector := b"0000"
1450
  );
1451
  port (
1452
    i: in std_logic_vector(width - 1 downto 0);
1453
    ce: in std_logic;
1454
    clr: in std_logic;
1455
    clk: in std_logic;
1456
    o: out std_logic_vector(width - 1 downto 0)
1457
  );
1458
end single_reg_w_init;
1459
architecture structural of single_reg_w_init is
1460
  function build_init_const(width: integer;
1461
                            init_index: integer;
1462
                            init_value: bit_vector)
1463
    return std_logic_vector
1464
  is
1465
    variable result: std_logic_vector(width - 1 downto 0);
1466
  begin
1467
    if init_index = 0 then
1468
      result := (others => '0');
1469
    elsif init_index = 1 then
1470
      result := (others => '0');
1471
      result(0) := '1';
1472
    else
1473
      result := to_stdlogicvector(init_value);
1474
    end if;
1475
    return result;
1476
  end;
1477
  component fdre
1478
    port (
1479
      q: out std_ulogic;
1480
      d: in  std_ulogic;
1481
      c: in  std_ulogic;
1482
      ce: in  std_ulogic;
1483
      r: in  std_ulogic
1484
    );
1485
  end component;
1486
  attribute syn_black_box of fdre: component is true;
1487
  attribute fpga_dont_touch of fdre: component is "true";
1488
  component fdse
1489
    port (
1490
      q: out std_ulogic;
1491
      d: in  std_ulogic;
1492
      c: in  std_ulogic;
1493
      ce: in  std_ulogic;
1494
      s: in  std_ulogic
1495
    );
1496
  end component;
1497
  attribute syn_black_box of fdse: component is true;
1498
  attribute fpga_dont_touch of fdse: component is "true";
1499
  constant init_const: std_logic_vector(width - 1 downto 0)
1500
    := build_init_const(width, init_index, init_value);
1501
begin
1502
  fd_prim_array: for index in 0 to width - 1 generate
1503
    bit_is_0: if (init_const(index) = '0') generate
1504
      fdre_comp: fdre
1505
        port map (
1506
          c => clk,
1507
          d => i(index),
1508
          q => o(index),
1509
          ce => ce,
1510
          r => clr
1511
        );
1512
    end generate;
1513
    bit_is_1: if (init_const(index) = '1') generate
1514
      fdse_comp: fdse
1515
        port map (
1516
          c => clk,
1517
          d => i(index),
1518
          q => o(index),
1519
          ce => ce,
1520
          s => clr
1521
        );
1522
    end generate;
1523
  end generate;
1524
end architecture structural;
1525
-- synopsys translate_off
1526
library unisim;
1527
use unisim.vcomponents.all;
1528
-- synopsys translate_on
1529
library IEEE;
1530
use IEEE.std_logic_1164.all;
1531
use work.conv_pkg.all;
1532
entity synth_reg_w_init is
1533
  generic (
1534
    width: integer := 8;
1535
    init_index: integer := 0;
1536
    init_value: bit_vector := b"0000";
1537
    latency: integer := 1
1538
  );
1539
  port (
1540
    i: in std_logic_vector(width - 1 downto 0);
1541
    ce: in std_logic;
1542
    clr: in std_logic;
1543
    clk: in std_logic;
1544
    o: out std_logic_vector(width - 1 downto 0)
1545
  );
1546
end synth_reg_w_init;
1547
architecture structural of synth_reg_w_init is
1548
  component single_reg_w_init
1549
    generic (
1550
      width: integer := 8;
1551
      init_index: integer := 0;
1552
      init_value: bit_vector := b"0000"
1553
    );
1554
    port (
1555
      i: in std_logic_vector(width - 1 downto 0);
1556
      ce: in std_logic;
1557
      clr: in std_logic;
1558
      clk: in std_logic;
1559
      o: out std_logic_vector(width - 1 downto 0)
1560
    );
1561
  end component;
1562
  signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0);
1563
  signal dly_clr: std_logic;
1564
begin
1565
  latency_eq_0: if (latency = 0) generate
1566
    o <= i;
1567
  end generate;
1568
  latency_gt_0: if (latency >= 1) generate
1569
    dly_i((latency + 1) * width - 1 downto latency * width) <= i
1570
      after 200 ps;
1571
    dly_clr <= clr after 200 ps;
1572
    fd_array: for index in latency downto 1 generate
1573
       reg_comp: single_reg_w_init
1574
          generic map (
1575
            width => width,
1576
            init_index => init_index,
1577
            init_value => init_value
1578
          )
1579
          port map (
1580
            clk => clk,
1581
            i => dly_i((index + 1) * width - 1 downto index * width),
1582
            o => dly_i(index * width - 1 downto (index - 1) * width),
1583
            ce => ce,
1584
            clr => dly_clr
1585
          );
1586
    end generate;
1587
    o <= dly_i(width - 1 downto 0);
1588
  end generate;
1589
end structural;
1590
 
1591
-------------------------------------------------------------------
1592
-- System Generator version 12.3 VHDL source file.
1593
--
1594
-- Copyright(C) 2010 by Xilinx, Inc.  All rights reserved.  This
1595
-- text/file contains proprietary, confidential information of Xilinx,
1596
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
1597
-- copied and/or disclosed only pursuant to the terms of a valid license
1598
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
1599
-- this text/file solely for design, simulation, implementation and
1600
-- creation of design files limited to Xilinx devices or technologies.
1601
-- Use with non-Xilinx devices or technologies is expressly prohibited
1602
-- and immediately terminates your license unless covered by a separate
1603
-- agreement.
1604
--
1605
-- Xilinx is providing this design, code, or information "as is" solely
1606
-- for use in developing programs and solutions for Xilinx devices.  By
1607
-- providing this design, code, or information as one possible
1608
-- implementation of this feature, application or standard, Xilinx is
1609
-- making no representation that this implementation is free from any
1610
-- claims of infringement.  You are responsible for obtaining any rights
1611
-- you may require for your implementation.  Xilinx expressly disclaims
1612
-- any warranty whatsoever with respect to the adequacy of the
1613
-- implementation, including but not limited to warranties of
1614
-- merchantability or fitness for a particular purpose.
1615
--
1616
-- Xilinx products are not intended for use in life support appliances,
1617
-- devices, or systems.  Use in such applications is expressly prohibited.
1618
--
1619
-- Any modifications that are made to the source code are done at the user's
1620
-- sole risk and will be unsupported.
1621
--
1622
-- This copyright and support notice must be retained as part of this
1623
-- text at all times.  (c) Copyright 1995-2010 Xilinx, Inc.  All rights
1624
-- reserved.
1625
-------------------------------------------------------------------
1626
library IEEE;
1627
use IEEE.std_logic_1164.all;
1628
entity xland2 is
1629
  port (
1630
    a : in std_logic;
1631
    b : in std_logic;
1632
    dout : out std_logic
1633
    );
1634
end xland2;
1635
architecture behavior of xland2 is
1636
begin
1637
    dout <= a and b;
1638
end behavior;
1639
library IEEE;
1640
use IEEE.std_logic_1164.all;
1641
use work.conv_pkg.all;
1642
 
1643
entity PCIe_UserLogic_00 is
1644
  port (
1645
    bram_rd_dout: in std_logic_vector(63 downto 0);
1646
    debug_in_1i: in std_logic_vector(31 downto 0);
1647
    debug_in_2i: in std_logic_vector(31 downto 0);
1648
    debug_in_3i: in std_logic_vector(31 downto 0);
1649
    debug_in_4i: in std_logic_vector(31 downto 0);
1650
    dma_host2board_busy: in std_logic;
1651
    dma_host2board_done: in std_logic;
1652
    fifo_rd_count: in std_logic_vector(14 downto 0);
1653
    fifo_rd_dout: in std_logic_vector(71 downto 0);
1654
    fifo_rd_empty: in std_logic;
1655
    fifo_rd_pempty: in std_logic;
1656
    fifo_rd_valid: in std_logic;
1657
    fifo_wr_count: in std_logic_vector(14 downto 0);
1658
    fifo_wr_full: in std_logic;
1659
    fifo_wr_pfull: in std_logic;
1660
    inout_logic_cw_ce: in std_logic := '1';
1661
    inout_logic_cw_clk: in std_logic;
1662
    reg01_td: in std_logic_vector(31 downto 0);
1663
    reg01_tv: in std_logic;
1664
    reg02_td: in std_logic_vector(31 downto 0);
1665
    reg02_tv: in std_logic;
1666
    reg03_td: in std_logic_vector(31 downto 0);
1667
    reg03_tv: in std_logic;
1668
    reg04_td: in std_logic_vector(31 downto 0);
1669
    reg04_tv: in std_logic;
1670
    reg05_td: in std_logic_vector(31 downto 0);
1671
    reg05_tv: in std_logic;
1672
    reg06_td: in std_logic_vector(31 downto 0);
1673
    reg06_tv: in std_logic;
1674
    reg07_td: in std_logic_vector(31 downto 0);
1675
    reg07_tv: in std_logic;
1676
    reg08_td: in std_logic_vector(31 downto 0);
1677
    reg08_tv: in std_logic;
1678
    reg09_td: in std_logic_vector(31 downto 0);
1679
    reg09_tv: in std_logic;
1680
    reg10_td: in std_logic_vector(31 downto 0);
1681
    reg10_tv: in std_logic;
1682
    reg11_td: in std_logic_vector(31 downto 0);
1683
    reg11_tv: in std_logic;
1684
    reg12_td: in std_logic_vector(31 downto 0);
1685
    reg12_tv: in std_logic;
1686
    reg13_td: in std_logic_vector(31 downto 0);
1687
    reg13_tv: in std_logic;
1688
    reg14_td: in std_logic_vector(31 downto 0);
1689
    reg14_tv: in std_logic;
1690
    rst_i: in std_logic;
1691
    user_logic_cw_ce: in std_logic := '1';
1692
    user_logic_cw_clk: in std_logic;
1693
    bram_rd_addr: out std_logic_vector(11 downto 0);
1694
    bram_wr_addr: out std_logic_vector(11 downto 0);
1695
    bram_wr_din: out std_logic_vector(63 downto 0);
1696
    bram_wr_en: out std_logic_vector(7 downto 0);
1697
    fifo_rd_en: out std_logic;
1698
    fifo_wr_din: out std_logic_vector(71 downto 0);
1699
    fifo_wr_en: out std_logic;
1700
    reg01_rd: out std_logic_vector(31 downto 0);
1701
    reg01_rv: out std_logic;
1702
    reg02_rd: out std_logic_vector(31 downto 0);
1703
    reg02_rv: out std_logic;
1704
    reg03_rd: out std_logic_vector(31 downto 0);
1705
    reg03_rv: out std_logic;
1706
    reg04_rd: out std_logic_vector(31 downto 0);
1707
    reg04_rv: out std_logic;
1708
    reg05_rd: out std_logic_vector(31 downto 0);
1709
    reg05_rv: out std_logic;
1710
    reg06_rd: out std_logic_vector(31 downto 0);
1711
    reg06_rv: out std_logic;
1712
    reg07_rd: out std_logic_vector(31 downto 0);
1713
    reg07_rv: out std_logic;
1714
    reg08_rd: out std_logic_vector(31 downto 0);
1715
    reg08_rv: out std_logic;
1716
    reg09_rd: out std_logic_vector(31 downto 0);
1717
    reg09_rv: out std_logic;
1718
    reg10_rd: out std_logic_vector(31 downto 0);
1719
    reg10_rv: out std_logic;
1720
    reg11_rd: out std_logic_vector(31 downto 0);
1721
    reg11_rv: out std_logic;
1722
    reg12_rd: out std_logic_vector(31 downto 0);
1723
    reg12_rv: out std_logic;
1724
    reg13_rd: out std_logic_vector(31 downto 0);
1725
    reg13_rv: out std_logic;
1726
    reg14_rd: out std_logic_vector(31 downto 0);
1727
    reg14_rv: out std_logic;
1728
    rst_o: out std_logic;
1729
    user_int_1o: out std_logic;
1730
    user_int_2o: out std_logic;
1731
    user_int_3o: out std_logic
1732
  );
1733
end PCIe_UserLogic_00;
1734
 
1735
architecture structural of PCIe_UserLogic_00 is
1736
  component inout_logic_cw
1737
    port (
1738
      ce: in std_logic := '1';
1739
      clk: in std_logic;
1740
      debug_in_1i: in std_logic_vector(31 downto 0);
1741
      debug_in_2i: in std_logic_vector(31 downto 0);
1742
      debug_in_3i: in std_logic_vector(31 downto 0);
1743
      debug_in_4i: in std_logic_vector(31 downto 0);
1744
      dma_host2board_busy: in std_logic;
1745
      dma_host2board_done: in std_logic;
1746
      from_register10_data_out: in std_logic_vector(31 downto 0);
1747
      from_register11_data_out: in std_logic_vector(31 downto 0);
1748
      from_register12_data_out: in std_logic_vector(0 downto 0);
1749
      from_register13_data_out: in std_logic_vector(31 downto 0);
1750
      from_register14_data_out: in std_logic_vector(0 downto 0);
1751
      from_register15_data_out: in std_logic_vector(31 downto 0);
1752
      from_register16_data_out: in std_logic_vector(0 downto 0);
1753
      from_register17_data_out: in std_logic_vector(31 downto 0);
1754
      from_register18_data_out: in std_logic_vector(0 downto 0);
1755
      from_register19_data_out: in std_logic_vector(31 downto 0);
1756
      from_register1_data_out: in std_logic_vector(0 downto 0);
1757
      from_register20_data_out: in std_logic_vector(0 downto 0);
1758
      from_register21_data_out: in std_logic_vector(31 downto 0);
1759
      from_register22_data_out: in std_logic_vector(0 downto 0);
1760
      from_register23_data_out: in std_logic_vector(31 downto 0);
1761
      from_register24_data_out: in std_logic_vector(0 downto 0);
1762
      from_register25_data_out: in std_logic_vector(31 downto 0);
1763
      from_register26_data_out: in std_logic_vector(0 downto 0);
1764
      from_register27_data_out: in std_logic_vector(31 downto 0);
1765
      from_register28_data_out: in std_logic_vector(0 downto 0);
1766
      from_register2_data_out: in std_logic_vector(0 downto 0);
1767
      from_register3_data_out: in std_logic_vector(31 downto 0);
1768
      from_register4_data_out: in std_logic_vector(0 downto 0);
1769
      from_register5_data_out: in std_logic_vector(31 downto 0);
1770
      from_register6_data_out: in std_logic_vector(0 downto 0);
1771
      from_register7_data_out: in std_logic_vector(31 downto 0);
1772
      from_register8_data_out: in std_logic_vector(31 downto 0);
1773
      from_register9_data_out: in std_logic_vector(0 downto 0);
1774
      reg01_td: in std_logic_vector(31 downto 0);
1775
      reg01_tv: in std_logic;
1776
      reg02_td: in std_logic_vector(31 downto 0);
1777
      reg02_tv: in std_logic;
1778
      reg03_td: in std_logic_vector(31 downto 0);
1779
      reg03_tv: in std_logic;
1780
      reg04_td: in std_logic_vector(31 downto 0);
1781
      reg04_tv: in std_logic;
1782
      reg05_td: in std_logic_vector(31 downto 0);
1783
      reg05_tv: in std_logic;
1784
      reg06_td: in std_logic_vector(31 downto 0);
1785
      reg06_tv: in std_logic;
1786
      reg07_td: in std_logic_vector(31 downto 0);
1787
      reg07_tv: in std_logic;
1788
      reg08_td: in std_logic_vector(31 downto 0);
1789
      reg08_tv: in std_logic;
1790
      reg09_td: in std_logic_vector(31 downto 0);
1791
      reg09_tv: in std_logic;
1792
      reg10_td: in std_logic_vector(31 downto 0);
1793
      reg10_tv: in std_logic;
1794
      reg11_td: in std_logic_vector(31 downto 0);
1795
      reg11_tv: in std_logic;
1796
      reg12_td: in std_logic_vector(31 downto 0);
1797
      reg12_tv: in std_logic;
1798
      reg13_td: in std_logic_vector(31 downto 0);
1799
      reg13_tv: in std_logic;
1800
      reg14_td: in std_logic_vector(31 downto 0);
1801
      reg14_tv: in std_logic;
1802
      to_register10_dout: in std_logic_vector(0 downto 0);
1803
      to_register11_dout: in std_logic_vector(31 downto 0);
1804
      to_register12_dout: in std_logic_vector(0 downto 0);
1805
      to_register13_dout: in std_logic_vector(31 downto 0);
1806
      to_register14_dout: in std_logic_vector(0 downto 0);
1807
      to_register15_dout: in std_logic_vector(31 downto 0);
1808
      to_register16_dout: in std_logic_vector(0 downto 0);
1809
      to_register17_dout: in std_logic_vector(31 downto 0);
1810
      to_register18_dout: in std_logic_vector(0 downto 0);
1811
      to_register19_dout: in std_logic_vector(0 downto 0);
1812
      to_register1_dout: in std_logic_vector(31 downto 0);
1813
      to_register20_dout: in std_logic_vector(31 downto 0);
1814
      to_register21_dout: in std_logic_vector(0 downto 0);
1815
      to_register22_dout: in std_logic_vector(31 downto 0);
1816
      to_register23_dout: in std_logic_vector(0 downto 0);
1817
      to_register24_dout: in std_logic_vector(31 downto 0);
1818
      to_register25_dout: in std_logic_vector(0 downto 0);
1819
      to_register26_dout: in std_logic_vector(31 downto 0);
1820
      to_register27_dout: in std_logic_vector(0 downto 0);
1821
      to_register28_dout: in std_logic_vector(31 downto 0);
1822
      to_register29_dout: in std_logic_vector(0 downto 0);
1823
      to_register2_dout: in std_logic_vector(31 downto 0);
1824
      to_register30_dout: in std_logic_vector(31 downto 0);
1825
      to_register31_dout: in std_logic_vector(0 downto 0);
1826
      to_register32_dout: in std_logic_vector(31 downto 0);
1827
      to_register33_dout: in std_logic_vector(0 downto 0);
1828
      to_register34_dout: in std_logic_vector(31 downto 0);
1829
      to_register3_dout: in std_logic_vector(0 downto 0);
1830
      to_register4_dout: in std_logic_vector(0 downto 0);
1831
      to_register5_dout: in std_logic_vector(31 downto 0);
1832
      to_register6_dout: in std_logic_vector(31 downto 0);
1833
      to_register7_dout: in std_logic_vector(31 downto 0);
1834
      to_register8_dout: in std_logic_vector(0 downto 0);
1835
      to_register9_dout: in std_logic_vector(31 downto 0);
1836
      reg01_rd: out std_logic_vector(31 downto 0);
1837
      reg01_rv: out std_logic;
1838
      reg02_rd: out std_logic_vector(31 downto 0);
1839
      reg02_rv: out std_logic;
1840
      reg03_rd: out std_logic_vector(31 downto 0);
1841
      reg03_rv: out std_logic;
1842
      reg04_rd: out std_logic_vector(31 downto 0);
1843
      reg04_rv: out std_logic;
1844
      reg05_rd: out std_logic_vector(31 downto 0);
1845
      reg05_rv: out std_logic;
1846
      reg06_rd: out std_logic_vector(31 downto 0);
1847
      reg06_rv: out std_logic;
1848
      reg07_rd: out std_logic_vector(31 downto 0);
1849
      reg07_rv: out std_logic;
1850
      reg08_rd: out std_logic_vector(31 downto 0);
1851
      reg08_rv: out std_logic;
1852
      reg09_rd: out std_logic_vector(31 downto 0);
1853
      reg09_rv: out std_logic;
1854
      reg10_rd: out std_logic_vector(31 downto 0);
1855
      reg10_rv: out std_logic;
1856
      reg11_rd: out std_logic_vector(31 downto 0);
1857
      reg11_rv: out std_logic;
1858
      reg12_rd: out std_logic_vector(31 downto 0);
1859
      reg12_rv: out std_logic;
1860
      reg13_rd: out std_logic_vector(31 downto 0);
1861
      reg13_rv: out std_logic;
1862
      reg14_rd: out std_logic_vector(31 downto 0);
1863
      reg14_rv: out std_logic;
1864
      to_register10_ce: out std_logic;
1865
      to_register10_clk: out std_logic;
1866
      to_register10_clr: out std_logic;
1867
      to_register10_data_in: out std_logic_vector(0 downto 0);
1868
      to_register10_en: out std_logic_vector(0 downto 0);
1869
      to_register11_ce: out std_logic;
1870
      to_register11_clk: out std_logic;
1871
      to_register11_clr: out std_logic;
1872
      to_register11_data_in: out std_logic_vector(31 downto 0);
1873
      to_register11_en: out std_logic_vector(0 downto 0);
1874
      to_register12_ce: out std_logic;
1875
      to_register12_clk: out std_logic;
1876
      to_register12_clr: out std_logic;
1877
      to_register12_data_in: out std_logic_vector(0 downto 0);
1878
      to_register12_en: out std_logic_vector(0 downto 0);
1879
      to_register13_ce: out std_logic;
1880
      to_register13_clk: out std_logic;
1881
      to_register13_clr: out std_logic;
1882
      to_register13_data_in: out std_logic_vector(31 downto 0);
1883
      to_register13_en: out std_logic_vector(0 downto 0);
1884
      to_register14_ce: out std_logic;
1885
      to_register14_clk: out std_logic;
1886
      to_register14_clr: out std_logic;
1887
      to_register14_data_in: out std_logic_vector(0 downto 0);
1888
      to_register14_en: out std_logic_vector(0 downto 0);
1889
      to_register15_ce: out std_logic;
1890
      to_register15_clk: out std_logic;
1891
      to_register15_clr: out std_logic;
1892
      to_register15_data_in: out std_logic_vector(31 downto 0);
1893
      to_register15_en: out std_logic_vector(0 downto 0);
1894
      to_register16_ce: out std_logic;
1895
      to_register16_clk: out std_logic;
1896
      to_register16_clr: out std_logic;
1897
      to_register16_data_in: out std_logic_vector(0 downto 0);
1898
      to_register16_en: out std_logic_vector(0 downto 0);
1899
      to_register17_ce: out std_logic;
1900
      to_register17_clk: out std_logic;
1901
      to_register17_clr: out std_logic;
1902
      to_register17_data_in: out std_logic_vector(31 downto 0);
1903
      to_register17_en: out std_logic_vector(0 downto 0);
1904
      to_register18_ce: out std_logic;
1905
      to_register18_clk: out std_logic;
1906
      to_register18_clr: out std_logic;
1907
      to_register18_data_in: out std_logic_vector(0 downto 0);
1908
      to_register18_en: out std_logic_vector(0 downto 0);
1909
      to_register19_ce: out std_logic;
1910
      to_register19_clk: out std_logic;
1911
      to_register19_clr: out std_logic;
1912
      to_register19_data_in: out std_logic_vector(0 downto 0);
1913
      to_register19_en: out std_logic_vector(0 downto 0);
1914
      to_register1_ce: out std_logic;
1915
      to_register1_clk: out std_logic;
1916
      to_register1_clr: out std_logic;
1917
      to_register1_data_in: out std_logic_vector(31 downto 0);
1918
      to_register1_en: out std_logic_vector(0 downto 0);
1919
      to_register20_ce: out std_logic;
1920
      to_register20_clk: out std_logic;
1921
      to_register20_clr: out std_logic;
1922
      to_register20_data_in: out std_logic_vector(31 downto 0);
1923
      to_register20_en: out std_logic_vector(0 downto 0);
1924
      to_register21_ce: out std_logic;
1925
      to_register21_clk: out std_logic;
1926
      to_register21_clr: out std_logic;
1927
      to_register21_data_in: out std_logic_vector(0 downto 0);
1928
      to_register21_en: out std_logic_vector(0 downto 0);
1929
      to_register22_ce: out std_logic;
1930
      to_register22_clk: out std_logic;
1931
      to_register22_clr: out std_logic;
1932
      to_register22_data_in: out std_logic_vector(31 downto 0);
1933
      to_register22_en: out std_logic_vector(0 downto 0);
1934
      to_register23_ce: out std_logic;
1935
      to_register23_clk: out std_logic;
1936
      to_register23_clr: out std_logic;
1937
      to_register23_data_in: out std_logic_vector(0 downto 0);
1938
      to_register23_en: out std_logic_vector(0 downto 0);
1939
      to_register24_ce: out std_logic;
1940
      to_register24_clk: out std_logic;
1941
      to_register24_clr: out std_logic;
1942
      to_register24_data_in: out std_logic_vector(31 downto 0);
1943
      to_register24_en: out std_logic_vector(0 downto 0);
1944
      to_register25_ce: out std_logic;
1945
      to_register25_clk: out std_logic;
1946
      to_register25_clr: out std_logic;
1947
      to_register25_data_in: out std_logic_vector(0 downto 0);
1948
      to_register25_en: out std_logic_vector(0 downto 0);
1949
      to_register26_ce: out std_logic;
1950
      to_register26_clk: out std_logic;
1951
      to_register26_clr: out std_logic;
1952
      to_register26_data_in: out std_logic_vector(31 downto 0);
1953
      to_register26_en: out std_logic_vector(0 downto 0);
1954
      to_register27_ce: out std_logic;
1955
      to_register27_clk: out std_logic;
1956
      to_register27_clr: out std_logic;
1957
      to_register27_data_in: out std_logic_vector(0 downto 0);
1958
      to_register27_en: out std_logic_vector(0 downto 0);
1959
      to_register28_ce: out std_logic;
1960
      to_register28_clk: out std_logic;
1961
      to_register28_clr: out std_logic;
1962
      to_register28_data_in: out std_logic_vector(31 downto 0);
1963
      to_register28_en: out std_logic_vector(0 downto 0);
1964
      to_register29_ce: out std_logic;
1965
      to_register29_clk: out std_logic;
1966
      to_register29_clr: out std_logic;
1967
      to_register29_data_in: out std_logic_vector(0 downto 0);
1968
      to_register29_en: out std_logic_vector(0 downto 0);
1969
      to_register2_ce: out std_logic;
1970
      to_register2_clk: out std_logic;
1971
      to_register2_clr: out std_logic;
1972
      to_register2_data_in: out std_logic_vector(31 downto 0);
1973
      to_register2_en: out std_logic_vector(0 downto 0);
1974
      to_register30_ce: out std_logic;
1975
      to_register30_clk: out std_logic;
1976
      to_register30_clr: out std_logic;
1977
      to_register30_data_in: out std_logic_vector(31 downto 0);
1978
      to_register30_en: out std_logic_vector(0 downto 0);
1979
      to_register31_ce: out std_logic;
1980
      to_register31_clk: out std_logic;
1981
      to_register31_clr: out std_logic;
1982
      to_register31_data_in: out std_logic_vector(0 downto 0);
1983
      to_register31_en: out std_logic_vector(0 downto 0);
1984
      to_register32_ce: out std_logic;
1985
      to_register32_clk: out std_logic;
1986
      to_register32_clr: out std_logic;
1987
      to_register32_data_in: out std_logic_vector(31 downto 0);
1988
      to_register32_en: out std_logic_vector(0 downto 0);
1989
      to_register33_ce: out std_logic;
1990
      to_register33_clk: out std_logic;
1991
      to_register33_clr: out std_logic;
1992
      to_register33_data_in: out std_logic_vector(0 downto 0);
1993
      to_register33_en: out std_logic_vector(0 downto 0);
1994
      to_register34_ce: out std_logic;
1995
      to_register34_clk: out std_logic;
1996
      to_register34_clr: out std_logic;
1997
      to_register34_data_in: out std_logic_vector(31 downto 0);
1998
      to_register34_en: out std_logic_vector(0 downto 0);
1999
      to_register3_ce: out std_logic;
2000
      to_register3_clk: out std_logic;
2001
      to_register3_clr: out std_logic;
2002
      to_register3_data_in: out std_logic_vector(0 downto 0);
2003
      to_register3_en: out std_logic_vector(0 downto 0);
2004
      to_register4_ce: out std_logic;
2005
      to_register4_clk: out std_logic;
2006
      to_register4_clr: out std_logic;
2007
      to_register4_data_in: out std_logic_vector(0 downto 0);
2008
      to_register4_en: out std_logic_vector(0 downto 0);
2009
      to_register5_ce: out std_logic;
2010
      to_register5_clk: out std_logic;
2011
      to_register5_clr: out std_logic;
2012
      to_register5_data_in: out std_logic_vector(31 downto 0);
2013
      to_register5_en: out std_logic_vector(0 downto 0);
2014
      to_register6_ce: out std_logic;
2015
      to_register6_clk: out std_logic;
2016
      to_register6_clr: out std_logic;
2017
      to_register6_data_in: out std_logic_vector(31 downto 0);
2018
      to_register6_en: out std_logic_vector(0 downto 0);
2019
      to_register7_ce: out std_logic;
2020
      to_register7_clk: out std_logic;
2021
      to_register7_clr: out std_logic;
2022
      to_register7_data_in: out std_logic_vector(31 downto 0);
2023
      to_register7_en: out std_logic_vector(0 downto 0);
2024
      to_register8_ce: out std_logic;
2025
      to_register8_clk: out std_logic;
2026
      to_register8_clr: out std_logic;
2027
      to_register8_data_in: out std_logic_vector(0 downto 0);
2028
      to_register8_en: out std_logic_vector(0 downto 0);
2029
      to_register9_ce: out std_logic;
2030
      to_register9_clk: out std_logic;
2031
      to_register9_clr: out std_logic;
2032
      to_register9_data_in: out std_logic_vector(31 downto 0);
2033
      to_register9_en: out std_logic_vector(0 downto 0)
2034
    );
2035
  end component;
2036
  attribute syn_black_box: boolean;
2037
  attribute syn_black_box of inout_logic_cw: component is true;
2038
  attribute box_type: string;
2039
  attribute box_type of inout_logic_cw: component is "black_box";
2040
  attribute syn_noprune: boolean;
2041
  attribute optimize_primitives: boolean;
2042
  attribute dont_touch: boolean;
2043
  attribute syn_noprune of inout_logic_cw: component is true;
2044
  attribute optimize_primitives of inout_logic_cw: component is false;
2045
  attribute dont_touch of inout_logic_cw: component is true;
2046
 
2047
  component user_logic_cw
2048
    port (
2049
      bram_rd_dout: in std_logic_vector(63 downto 0);
2050
      ce: in std_logic := '1';
2051
      clk: in std_logic;
2052
      fifo_rd_count: in std_logic_vector(14 downto 0);
2053
      fifo_rd_dout: in std_logic_vector(71 downto 0);
2054
      fifo_rd_empty: in std_logic;
2055
      fifo_rd_pempty: in std_logic;
2056
      fifo_rd_valid: in std_logic;
2057
      fifo_wr_count: in std_logic_vector(14 downto 0);
2058
      fifo_wr_full: in std_logic;
2059
      fifo_wr_pfull: in std_logic;
2060
      from_register10_data_out: in std_logic_vector(0 downto 0);
2061
      from_register11_data_out: in std_logic_vector(31 downto 0);
2062
      from_register12_data_out: in std_logic_vector(0 downto 0);
2063
      from_register13_data_out: in std_logic_vector(31 downto 0);
2064
      from_register14_data_out: in std_logic_vector(0 downto 0);
2065
      from_register15_data_out: in std_logic_vector(0 downto 0);
2066
      from_register16_data_out: in std_logic_vector(0 downto 0);
2067
      from_register17_data_out: in std_logic_vector(31 downto 0);
2068
      from_register18_data_out: in std_logic_vector(0 downto 0);
2069
      from_register19_data_out: in std_logic_vector(31 downto 0);
2070
      from_register1_data_out: in std_logic_vector(31 downto 0);
2071
      from_register20_data_out: in std_logic_vector(31 downto 0);
2072
      from_register21_data_out: in std_logic_vector(0 downto 0);
2073
      from_register22_data_out: in std_logic_vector(31 downto 0);
2074
      from_register23_data_out: in std_logic_vector(0 downto 0);
2075
      from_register24_data_out: in std_logic_vector(31 downto 0);
2076
      from_register25_data_out: in std_logic_vector(0 downto 0);
2077
      from_register26_data_out: in std_logic_vector(31 downto 0);
2078
      from_register27_data_out: in std_logic_vector(0 downto 0);
2079
      from_register28_data_out: in std_logic_vector(31 downto 0);
2080
      from_register29_data_out: in std_logic_vector(0 downto 0);
2081
      from_register2_data_out: in std_logic_vector(31 downto 0);
2082
      from_register30_data_out: in std_logic_vector(31 downto 0);
2083
      from_register31_data_out: in std_logic_vector(0 downto 0);
2084
      from_register32_data_out: in std_logic_vector(31 downto 0);
2085
      from_register33_data_out: in std_logic_vector(0 downto 0);
2086
      from_register3_data_out: in std_logic_vector(31 downto 0);
2087
      from_register4_data_out: in std_logic_vector(0 downto 0);
2088
      from_register5_data_out: in std_logic_vector(31 downto 0);
2089
      from_register6_data_out: in std_logic_vector(0 downto 0);
2090
      from_register7_data_out: in std_logic_vector(31 downto 0);
2091
      from_register8_data_out: in std_logic_vector(0 downto 0);
2092
      from_register9_data_out: in std_logic_vector(31 downto 0);
2093
      from_register_data_out: in std_logic_vector(31 downto 0);
2094
      rst_i: in std_logic;
2095
      to_register10_dout: in std_logic_vector(0 downto 0);
2096
      to_register11_dout: in std_logic_vector(0 downto 0);
2097
      to_register12_dout: in std_logic_vector(0 downto 0);
2098
      to_register13_dout: in std_logic_vector(31 downto 0);
2099
      to_register14_dout: in std_logic_vector(0 downto 0);
2100
      to_register15_dout: in std_logic_vector(31 downto 0);
2101
      to_register16_dout: in std_logic_vector(0 downto 0);
2102
      to_register17_dout: in std_logic_vector(31 downto 0);
2103
      to_register18_dout: in std_logic_vector(0 downto 0);
2104
      to_register19_dout: in std_logic_vector(31 downto 0);
2105
      to_register1_dout: in std_logic_vector(0 downto 0);
2106
      to_register20_dout: in std_logic_vector(0 downto 0);
2107
      to_register21_dout: in std_logic_vector(31 downto 0);
2108
      to_register22_dout: in std_logic_vector(0 downto 0);
2109
      to_register23_dout: in std_logic_vector(31 downto 0);
2110
      to_register24_dout: in std_logic_vector(0 downto 0);
2111
      to_register25_dout: in std_logic_vector(31 downto 0);
2112
      to_register26_dout: in std_logic_vector(0 downto 0);
2113
      to_register27_dout: in std_logic_vector(31 downto 0);
2114
      to_register2_dout: in std_logic_vector(31 downto 0);
2115
      to_register3_dout: in std_logic_vector(31 downto 0);
2116
      to_register4_dout: in std_logic_vector(0 downto 0);
2117
      to_register5_dout: in std_logic_vector(0 downto 0);
2118
      to_register6_dout: in std_logic_vector(31 downto 0);
2119
      to_register7_dout: in std_logic_vector(0 downto 0);
2120
      to_register8_dout: in std_logic_vector(31 downto 0);
2121
      to_register9_dout: in std_logic_vector(31 downto 0);
2122
      to_register_dout: in std_logic_vector(31 downto 0);
2123
      bram_rd_addr: out std_logic_vector(11 downto 0);
2124
      bram_wr_addr: out std_logic_vector(11 downto 0);
2125
      bram_wr_din: out std_logic_vector(63 downto 0);
2126
      bram_wr_en: out std_logic_vector(7 downto 0);
2127
      fifo_rd_en: out std_logic;
2128
      fifo_wr_din: out std_logic_vector(71 downto 0);
2129
      fifo_wr_en: out std_logic;
2130
      rst_o: out std_logic;
2131
      to_register10_ce: out std_logic;
2132
      to_register10_clk: out std_logic;
2133
      to_register10_clr: out std_logic;
2134
      to_register10_data_in: out std_logic_vector(0 downto 0);
2135
      to_register10_en: out std_logic_vector(0 downto 0);
2136
      to_register11_ce: out std_logic;
2137
      to_register11_clk: out std_logic;
2138
      to_register11_clr: out std_logic;
2139
      to_register11_data_in: out std_logic_vector(0 downto 0);
2140
      to_register11_en: out std_logic_vector(0 downto 0);
2141
      to_register12_ce: out std_logic;
2142
      to_register12_clk: out std_logic;
2143
      to_register12_clr: out std_logic;
2144
      to_register12_data_in: out std_logic_vector(0 downto 0);
2145
      to_register12_en: out std_logic_vector(0 downto 0);
2146
      to_register13_ce: out std_logic;
2147
      to_register13_clk: out std_logic;
2148
      to_register13_clr: out std_logic;
2149
      to_register13_data_in: out std_logic_vector(31 downto 0);
2150
      to_register13_en: out std_logic_vector(0 downto 0);
2151
      to_register14_ce: out std_logic;
2152
      to_register14_clk: out std_logic;
2153
      to_register14_clr: out std_logic;
2154
      to_register14_data_in: out std_logic_vector(0 downto 0);
2155
      to_register14_en: out std_logic_vector(0 downto 0);
2156
      to_register15_ce: out std_logic;
2157
      to_register15_clk: out std_logic;
2158
      to_register15_clr: out std_logic;
2159
      to_register15_data_in: out std_logic_vector(31 downto 0);
2160
      to_register15_en: out std_logic_vector(0 downto 0);
2161
      to_register16_ce: out std_logic;
2162
      to_register16_clk: out std_logic;
2163
      to_register16_clr: out std_logic;
2164
      to_register16_data_in: out std_logic_vector(0 downto 0);
2165
      to_register16_en: out std_logic_vector(0 downto 0);
2166
      to_register17_ce: out std_logic;
2167
      to_register17_clk: out std_logic;
2168
      to_register17_clr: out std_logic;
2169
      to_register17_data_in: out std_logic_vector(31 downto 0);
2170
      to_register17_en: out std_logic_vector(0 downto 0);
2171
      to_register18_ce: out std_logic;
2172
      to_register18_clk: out std_logic;
2173
      to_register18_clr: out std_logic;
2174
      to_register18_data_in: out std_logic_vector(0 downto 0);
2175
      to_register18_en: out std_logic_vector(0 downto 0);
2176
      to_register19_ce: out std_logic;
2177
      to_register19_clk: out std_logic;
2178
      to_register19_clr: out std_logic;
2179
      to_register19_data_in: out std_logic_vector(31 downto 0);
2180
      to_register19_en: out std_logic_vector(0 downto 0);
2181
      to_register1_ce: out std_logic;
2182
      to_register1_clk: out std_logic;
2183
      to_register1_clr: out std_logic;
2184
      to_register1_data_in: out std_logic_vector(0 downto 0);
2185
      to_register1_en: out std_logic_vector(0 downto 0);
2186
      to_register20_ce: out std_logic;
2187
      to_register20_clk: out std_logic;
2188
      to_register20_clr: out std_logic;
2189
      to_register20_data_in: out std_logic_vector(0 downto 0);
2190
      to_register20_en: out std_logic_vector(0 downto 0);
2191
      to_register21_ce: out std_logic;
2192
      to_register21_clk: out std_logic;
2193
      to_register21_clr: out std_logic;
2194
      to_register21_data_in: out std_logic_vector(31 downto 0);
2195
      to_register21_en: out std_logic_vector(0 downto 0);
2196
      to_register22_ce: out std_logic;
2197
      to_register22_clk: out std_logic;
2198
      to_register22_clr: out std_logic;
2199
      to_register22_data_in: out std_logic_vector(0 downto 0);
2200
      to_register22_en: out std_logic_vector(0 downto 0);
2201
      to_register23_ce: out std_logic;
2202
      to_register23_clk: out std_logic;
2203
      to_register23_clr: out std_logic;
2204
      to_register23_data_in: out std_logic_vector(31 downto 0);
2205
      to_register23_en: out std_logic_vector(0 downto 0);
2206
      to_register24_ce: out std_logic;
2207
      to_register24_clk: out std_logic;
2208
      to_register24_clr: out std_logic;
2209
      to_register24_data_in: out std_logic_vector(0 downto 0);
2210
      to_register24_en: out std_logic_vector(0 downto 0);
2211
      to_register25_ce: out std_logic;
2212
      to_register25_clk: out std_logic;
2213
      to_register25_clr: out std_logic;
2214
      to_register25_data_in: out std_logic_vector(31 downto 0);
2215
      to_register25_en: out std_logic_vector(0 downto 0);
2216
      to_register26_ce: out std_logic;
2217
      to_register26_clk: out std_logic;
2218
      to_register26_clr: out std_logic;
2219
      to_register26_data_in: out std_logic_vector(0 downto 0);
2220
      to_register26_en: out std_logic_vector(0 downto 0);
2221
      to_register27_ce: out std_logic;
2222
      to_register27_clk: out std_logic;
2223
      to_register27_clr: out std_logic;
2224
      to_register27_data_in: out std_logic_vector(31 downto 0);
2225
      to_register27_en: out std_logic_vector(0 downto 0);
2226
      to_register2_ce: out std_logic;
2227
      to_register2_clk: out std_logic;
2228
      to_register2_clr: out std_logic;
2229
      to_register2_data_in: out std_logic_vector(31 downto 0);
2230
      to_register2_en: out std_logic_vector(0 downto 0);
2231
      to_register3_ce: out std_logic;
2232
      to_register3_clk: out std_logic;
2233
      to_register3_clr: out std_logic;
2234
      to_register3_data_in: out std_logic_vector(31 downto 0);
2235
      to_register3_en: out std_logic_vector(0 downto 0);
2236
      to_register4_ce: out std_logic;
2237
      to_register4_clk: out std_logic;
2238
      to_register4_clr: out std_logic;
2239
      to_register4_data_in: out std_logic_vector(0 downto 0);
2240
      to_register4_en: out std_logic_vector(0 downto 0);
2241
      to_register5_ce: out std_logic;
2242
      to_register5_clk: out std_logic;
2243
      to_register5_clr: out std_logic;
2244
      to_register5_data_in: out std_logic_vector(0 downto 0);
2245
      to_register5_en: out std_logic_vector(0 downto 0);
2246
      to_register6_ce: out std_logic;
2247
      to_register6_clk: out std_logic;
2248
      to_register6_clr: out std_logic;
2249
      to_register6_data_in: out std_logic_vector(31 downto 0);
2250
      to_register6_en: out std_logic_vector(0 downto 0);
2251
      to_register7_ce: out std_logic;
2252
      to_register7_clk: out std_logic;
2253
      to_register7_clr: out std_logic;
2254
      to_register7_data_in: out std_logic_vector(0 downto 0);
2255
      to_register7_en: out std_logic_vector(0 downto 0);
2256
      to_register8_ce: out std_logic;
2257
      to_register8_clk: out std_logic;
2258
      to_register8_clr: out std_logic;
2259
      to_register8_data_in: out std_logic_vector(31 downto 0);
2260
      to_register8_en: out std_logic_vector(0 downto 0);
2261
      to_register9_ce: out std_logic;
2262
      to_register9_clk: out std_logic;
2263
      to_register9_clr: out std_logic;
2264
      to_register9_data_in: out std_logic_vector(31 downto 0);
2265
      to_register9_en: out std_logic_vector(0 downto 0);
2266
      to_register_ce: out std_logic;
2267
      to_register_clk: out std_logic;
2268
      to_register_clr: out std_logic;
2269
      to_register_data_in: out std_logic_vector(31 downto 0);
2270
      to_register_en: out std_logic_vector(0 downto 0);
2271
      user_int_1o: out std_logic;
2272
      user_int_2o: out std_logic;
2273
      user_int_3o: out std_logic
2274
    );
2275
  end component;
2276
  attribute syn_black_box of user_logic_cw: component is true;
2277
  attribute box_type of user_logic_cw: component is "black_box";
2278
  attribute syn_noprune of user_logic_cw: component is true;
2279
  attribute optimize_primitives of user_logic_cw: component is false;
2280
  attribute dont_touch of user_logic_cw: component is true;
2281
 
2282
  signal DMA_Host2Board_Busy_reg_ce: std_logic;
2283
  signal DMA_Host2Board_Done_reg_ce: std_logic;
2284
  signal clk: std_logic;
2285
  signal clk_x0: std_logic;
2286
  signal debug1i_reg_ce: std_logic;
2287
  signal debug2i_reg_ce: std_logic;
2288
  signal debug3i_reg_ce: std_logic;
2289
  signal debug4i_reg_ce: std_logic;
2290
  signal from_register10_data_out: std_logic_vector(31 downto 0);
2291
  signal from_register10_data_out_x0: std_logic;
2292
  signal from_register11_data_out: std_logic_vector(31 downto 0);
2293
  signal from_register11_data_out_x0: std_logic_vector(31 downto 0);
2294
  signal from_register12_data_out: std_logic;
2295
  signal from_register12_data_out_x0: std_logic;
2296
  signal from_register13_data_out: std_logic_vector(31 downto 0);
2297
  signal from_register13_data_out_x0: std_logic_vector(31 downto 0);
2298
  signal from_register14_data_out: std_logic;
2299
  signal from_register14_data_out_x0: std_logic;
2300
  signal from_register15_data_out: std_logic_vector(31 downto 0);
2301
  signal from_register15_data_out_x0: std_logic;
2302
  signal from_register16_data_out: std_logic;
2303
  signal from_register16_data_out_x0: std_logic;
2304
  signal from_register17_data_out: std_logic_vector(31 downto 0);
2305
  signal from_register17_data_out_x0: std_logic_vector(31 downto 0);
2306
  signal from_register18_data_out: std_logic;
2307
  signal from_register18_data_out_x0: std_logic;
2308
  signal from_register19_data_out: std_logic_vector(31 downto 0);
2309
  signal from_register19_data_out_x0: std_logic_vector(31 downto 0);
2310
  signal from_register1_data_out: std_logic;
2311
  signal from_register1_data_out_x0: std_logic_vector(31 downto 0);
2312
  signal from_register20_data_out: std_logic;
2313
  signal from_register20_data_out_x0: std_logic_vector(31 downto 0);
2314
  signal from_register21_data_out: std_logic_vector(31 downto 0);
2315
  signal from_register21_data_out_x0: std_logic;
2316
  signal from_register22_data_out: std_logic;
2317
  signal from_register22_data_out_x0: std_logic_vector(31 downto 0);
2318
  signal from_register23_data_out: std_logic_vector(31 downto 0);
2319
  signal from_register23_data_out_x0: std_logic;
2320
  signal from_register24_data_out: std_logic;
2321
  signal from_register24_data_out_x0: std_logic_vector(31 downto 0);
2322
  signal from_register25_data_out: std_logic_vector(31 downto 0);
2323
  signal from_register25_data_out_x0: std_logic;
2324
  signal from_register26_data_out: std_logic;
2325
  signal from_register26_data_out_x0: std_logic_vector(31 downto 0);
2326
  signal from_register27_data_out: std_logic_vector(31 downto 0);
2327
  signal from_register27_data_out_x0: std_logic;
2328
  signal from_register28_data_out: std_logic;
2329
  signal from_register28_data_out_x0: std_logic_vector(31 downto 0);
2330
  signal from_register29_data_out: std_logic;
2331
  signal from_register2_data_out: std_logic;
2332
  signal from_register2_data_out_x0: std_logic_vector(31 downto 0);
2333
  signal from_register30_data_out: std_logic_vector(31 downto 0);
2334
  signal from_register31_data_out: std_logic;
2335
  signal from_register32_data_out: std_logic_vector(31 downto 0);
2336
  signal from_register33_data_out: std_logic;
2337
  signal from_register3_data_out: std_logic_vector(31 downto 0);
2338
  signal from_register3_data_out_x0: std_logic_vector(31 downto 0);
2339
  signal from_register4_data_out: std_logic;
2340
  signal from_register4_data_out_x0: std_logic;
2341
  signal from_register5_data_out: std_logic_vector(31 downto 0);
2342
  signal from_register5_data_out_x0: std_logic_vector(31 downto 0);
2343
  signal from_register6_data_out: std_logic;
2344
  signal from_register6_data_out_x0: std_logic;
2345
  signal from_register7_data_out: std_logic_vector(31 downto 0);
2346
  signal from_register7_data_out_x0: std_logic_vector(31 downto 0);
2347
  signal from_register8_data_out: std_logic_vector(31 downto 0);
2348
  signal from_register8_data_out_x0: std_logic;
2349
  signal from_register9_data_out: std_logic;
2350
  signal from_register9_data_out_x0: std_logic_vector(31 downto 0);
2351
  signal from_register_data_out: std_logic_vector(31 downto 0);
2352
  signal register01rd_reg_ce: std_logic;
2353
  signal register01rv_reg_ce: std_logic;
2354
  signal register01td_reg_ce: std_logic;
2355
  signal register01tv_reg_ce: std_logic;
2356
  signal register02rd_reg_ce: std_logic;
2357
  signal register02rv_reg_ce: std_logic;
2358
  signal register02td_reg_ce: std_logic;
2359
  signal register02tv_reg_ce: std_logic;
2360
  signal register03rd_reg_ce: std_logic;
2361
  signal register03rv_reg_ce: std_logic;
2362
  signal register03td_reg_ce: std_logic;
2363
  signal register03tv_reg_ce: std_logic;
2364
  signal register04rd_reg_ce: std_logic;
2365
  signal register04rv_reg_ce: std_logic;
2366
  signal register04td_reg_ce: std_logic;
2367
  signal register04tv_reg_ce: std_logic;
2368
  signal register05rd_reg_ce: std_logic;
2369
  signal register05rv_reg_ce: std_logic;
2370
  signal register05td_reg_ce: std_logic;
2371
  signal register05tv_reg_ce: std_logic;
2372
  signal register06rd_reg_ce: std_logic;
2373
  signal register06rv_reg_ce: std_logic;
2374
  signal register06td_reg_ce: std_logic;
2375
  signal register06tv_reg_ce: std_logic;
2376
  signal register07rd_reg_ce: std_logic;
2377
  signal register07rv_reg_ce: std_logic;
2378
  signal register07td_reg_ce: std_logic;
2379
  signal register07tv_reg_ce: std_logic;
2380
  signal register08rd_reg_ce: std_logic;
2381
  signal register08rv_reg_ce: std_logic;
2382
  signal register08td_reg_ce: std_logic;
2383
  signal register08tv_reg_ce: std_logic;
2384
  signal register09rd_reg_ce: std_logic;
2385
  signal register09rv_reg_ce: std_logic;
2386
  signal register09td_reg_ce: std_logic;
2387
  signal register09tv_reg_ce: std_logic;
2388
  signal register10rd_reg_ce: std_logic;
2389
  signal register10rv_reg_ce: std_logic;
2390
  signal register10td_reg_ce: std_logic;
2391
  signal register10tv_reg_ce: std_logic;
2392
  signal register11rd_reg_ce: std_logic;
2393
  signal register11rv_reg_ce: std_logic;
2394
  signal register11td_reg_ce: std_logic;
2395
  signal register11tv_reg_ce: std_logic;
2396
  signal register12rd_reg_ce: std_logic;
2397
  signal register12rv_reg_ce: std_logic;
2398
  signal register12td_reg_ce: std_logic;
2399
  signal register12tv_reg_ce: std_logic;
2400
  signal register13rd_reg_ce: std_logic;
2401
  signal register13rv_reg_ce: std_logic;
2402
  signal register13td_reg_ce: std_logic;
2403
  signal register13tv_reg_ce: std_logic;
2404
  signal register14rd_reg_ce: std_logic;
2405
  signal register14rv_reg_ce: std_logic;
2406
  signal register14td_reg_ce: std_logic;
2407
  signal register14tv_reg_ce: std_logic;
2408
  signal sysgen_dut_to_register10_ce: std_logic;
2409
  signal sysgen_dut_to_register10_ce_x0: std_logic;
2410
  signal sysgen_dut_to_register10_clk: std_logic;
2411
  signal sysgen_dut_to_register10_clk_x0: std_logic;
2412
  signal sysgen_dut_to_register10_clr: std_logic;
2413
  signal sysgen_dut_to_register10_clr_x0: std_logic;
2414
  signal sysgen_dut_to_register10_data_in: std_logic;
2415
  signal sysgen_dut_to_register10_data_in_x0: std_logic;
2416
  signal sysgen_dut_to_register10_en: std_logic;
2417
  signal sysgen_dut_to_register10_en_x0: std_logic;
2418
  signal sysgen_dut_to_register11_ce: std_logic;
2419
  signal sysgen_dut_to_register11_ce_x0: std_logic;
2420
  signal sysgen_dut_to_register11_clk: std_logic;
2421
  signal sysgen_dut_to_register11_clk_x0: std_logic;
2422
  signal sysgen_dut_to_register11_clr: std_logic;
2423
  signal sysgen_dut_to_register11_clr_x0: std_logic;
2424
  signal sysgen_dut_to_register11_data_in: std_logic_vector(31 downto 0);
2425
  signal sysgen_dut_to_register11_data_in_x0: std_logic;
2426
  signal sysgen_dut_to_register11_en: std_logic;
2427
  signal sysgen_dut_to_register11_en_x0: std_logic;
2428
  signal sysgen_dut_to_register12_ce: std_logic;
2429
  signal sysgen_dut_to_register12_ce_x0: std_logic;
2430
  signal sysgen_dut_to_register12_clk: std_logic;
2431
  signal sysgen_dut_to_register12_clk_x0: std_logic;
2432
  signal sysgen_dut_to_register12_clr: std_logic;
2433
  signal sysgen_dut_to_register12_clr_x0: std_logic;
2434
  signal sysgen_dut_to_register12_data_in: std_logic;
2435
  signal sysgen_dut_to_register12_data_in_x0: std_logic;
2436
  signal sysgen_dut_to_register12_en: std_logic;
2437
  signal sysgen_dut_to_register12_en_x0: std_logic;
2438
  signal sysgen_dut_to_register13_ce: std_logic;
2439
  signal sysgen_dut_to_register13_ce_x0: std_logic;
2440
  signal sysgen_dut_to_register13_clk: std_logic;
2441
  signal sysgen_dut_to_register13_clk_x0: std_logic;
2442
  signal sysgen_dut_to_register13_clr: std_logic;
2443
  signal sysgen_dut_to_register13_clr_x0: std_logic;
2444
  signal sysgen_dut_to_register13_data_in: std_logic_vector(31 downto 0);
2445
  signal sysgen_dut_to_register13_data_in_x0: std_logic_vector(31 downto 0);
2446
  signal sysgen_dut_to_register13_en: std_logic;
2447
  signal sysgen_dut_to_register13_en_x0: std_logic;
2448
  signal sysgen_dut_to_register14_ce: std_logic;
2449
  signal sysgen_dut_to_register14_ce_x0: std_logic;
2450
  signal sysgen_dut_to_register14_clk: std_logic;
2451
  signal sysgen_dut_to_register14_clk_x0: std_logic;
2452
  signal sysgen_dut_to_register14_clr: std_logic;
2453
  signal sysgen_dut_to_register14_clr_x0: std_logic;
2454
  signal sysgen_dut_to_register14_data_in: std_logic;
2455
  signal sysgen_dut_to_register14_data_in_x0: std_logic;
2456
  signal sysgen_dut_to_register14_en: std_logic;
2457
  signal sysgen_dut_to_register14_en_x0: std_logic;
2458
  signal sysgen_dut_to_register15_ce: std_logic;
2459
  signal sysgen_dut_to_register15_ce_x0: std_logic;
2460
  signal sysgen_dut_to_register15_clk: std_logic;
2461
  signal sysgen_dut_to_register15_clk_x0: std_logic;
2462
  signal sysgen_dut_to_register15_clr: std_logic;
2463
  signal sysgen_dut_to_register15_clr_x0: std_logic;
2464
  signal sysgen_dut_to_register15_data_in: std_logic_vector(31 downto 0);
2465
  signal sysgen_dut_to_register15_data_in_x0: std_logic_vector(31 downto 0);
2466
  signal sysgen_dut_to_register15_en: std_logic;
2467
  signal sysgen_dut_to_register15_en_x0: std_logic;
2468
  signal sysgen_dut_to_register16_ce: std_logic;
2469
  signal sysgen_dut_to_register16_ce_x0: std_logic;
2470
  signal sysgen_dut_to_register16_clk: std_logic;
2471
  signal sysgen_dut_to_register16_clk_x0: std_logic;
2472
  signal sysgen_dut_to_register16_clr: std_logic;
2473
  signal sysgen_dut_to_register16_clr_x0: std_logic;
2474
  signal sysgen_dut_to_register16_data_in: std_logic;
2475
  signal sysgen_dut_to_register16_data_in_x0: std_logic;
2476
  signal sysgen_dut_to_register16_en: std_logic;
2477
  signal sysgen_dut_to_register16_en_x0: std_logic;
2478
  signal sysgen_dut_to_register17_ce: std_logic;
2479
  signal sysgen_dut_to_register17_ce_x0: std_logic;
2480
  signal sysgen_dut_to_register17_clk: std_logic;
2481
  signal sysgen_dut_to_register17_clk_x0: std_logic;
2482
  signal sysgen_dut_to_register17_clr: std_logic;
2483
  signal sysgen_dut_to_register17_clr_x0: std_logic;
2484
  signal sysgen_dut_to_register17_data_in: std_logic_vector(31 downto 0);
2485
  signal sysgen_dut_to_register17_data_in_x0: std_logic_vector(31 downto 0);
2486
  signal sysgen_dut_to_register17_en: std_logic;
2487
  signal sysgen_dut_to_register17_en_x0: std_logic;
2488
  signal sysgen_dut_to_register18_ce: std_logic;
2489
  signal sysgen_dut_to_register18_ce_x0: std_logic;
2490
  signal sysgen_dut_to_register18_clk: std_logic;
2491
  signal sysgen_dut_to_register18_clk_x0: std_logic;
2492
  signal sysgen_dut_to_register18_clr: std_logic;
2493
  signal sysgen_dut_to_register18_clr_x0: std_logic;
2494
  signal sysgen_dut_to_register18_data_in: std_logic;
2495
  signal sysgen_dut_to_register18_data_in_x0: std_logic;
2496
  signal sysgen_dut_to_register18_en: std_logic;
2497
  signal sysgen_dut_to_register18_en_x0: std_logic;
2498
  signal sysgen_dut_to_register19_ce: std_logic;
2499
  signal sysgen_dut_to_register19_ce_x0: std_logic;
2500
  signal sysgen_dut_to_register19_clk: std_logic;
2501
  signal sysgen_dut_to_register19_clk_x0: std_logic;
2502
  signal sysgen_dut_to_register19_clr: std_logic;
2503
  signal sysgen_dut_to_register19_clr_x0: std_logic;
2504
  signal sysgen_dut_to_register19_data_in: std_logic;
2505
  signal sysgen_dut_to_register19_data_in_x0: std_logic_vector(31 downto 0);
2506
  signal sysgen_dut_to_register19_en: std_logic;
2507
  signal sysgen_dut_to_register19_en_x0: std_logic;
2508
  signal sysgen_dut_to_register1_ce: std_logic;
2509
  signal sysgen_dut_to_register1_ce_x0: std_logic;
2510
  signal sysgen_dut_to_register1_clk: std_logic;
2511
  signal sysgen_dut_to_register1_clk_x0: std_logic;
2512
  signal sysgen_dut_to_register1_clr: std_logic;
2513
  signal sysgen_dut_to_register1_clr_x0: std_logic;
2514
  signal sysgen_dut_to_register1_data_in: std_logic_vector(31 downto 0);
2515
  signal sysgen_dut_to_register1_data_in_x0: std_logic;
2516
  signal sysgen_dut_to_register1_en: std_logic;
2517
  signal sysgen_dut_to_register1_en_x0: std_logic;
2518
  signal sysgen_dut_to_register20_ce: std_logic;
2519
  signal sysgen_dut_to_register20_ce_x0: std_logic;
2520
  signal sysgen_dut_to_register20_clk: std_logic;
2521
  signal sysgen_dut_to_register20_clk_x0: std_logic;
2522
  signal sysgen_dut_to_register20_clr: std_logic;
2523
  signal sysgen_dut_to_register20_clr_x0: std_logic;
2524
  signal sysgen_dut_to_register20_data_in: std_logic_vector(31 downto 0);
2525
  signal sysgen_dut_to_register20_data_in_x0: std_logic;
2526
  signal sysgen_dut_to_register20_en: std_logic;
2527
  signal sysgen_dut_to_register20_en_x0: std_logic;
2528
  signal sysgen_dut_to_register21_ce: std_logic;
2529
  signal sysgen_dut_to_register21_ce_x0: std_logic;
2530
  signal sysgen_dut_to_register21_clk: std_logic;
2531
  signal sysgen_dut_to_register21_clk_x0: std_logic;
2532
  signal sysgen_dut_to_register21_clr: std_logic;
2533
  signal sysgen_dut_to_register21_clr_x0: std_logic;
2534
  signal sysgen_dut_to_register21_data_in: std_logic;
2535
  signal sysgen_dut_to_register21_data_in_x0: std_logic_vector(31 downto 0);
2536
  signal sysgen_dut_to_register21_en: std_logic;
2537
  signal sysgen_dut_to_register21_en_x0: std_logic;
2538
  signal sysgen_dut_to_register22_ce: std_logic;
2539
  signal sysgen_dut_to_register22_ce_x0: std_logic;
2540
  signal sysgen_dut_to_register22_clk: std_logic;
2541
  signal sysgen_dut_to_register22_clk_x0: std_logic;
2542
  signal sysgen_dut_to_register22_clr: std_logic;
2543
  signal sysgen_dut_to_register22_clr_x0: std_logic;
2544
  signal sysgen_dut_to_register22_data_in: std_logic_vector(31 downto 0);
2545
  signal sysgen_dut_to_register22_data_in_x0: std_logic;
2546
  signal sysgen_dut_to_register22_en: std_logic;
2547
  signal sysgen_dut_to_register22_en_x0: std_logic;
2548
  signal sysgen_dut_to_register23_ce: std_logic;
2549
  signal sysgen_dut_to_register23_ce_x0: std_logic;
2550
  signal sysgen_dut_to_register23_clk: std_logic;
2551
  signal sysgen_dut_to_register23_clk_x0: std_logic;
2552
  signal sysgen_dut_to_register23_clr: std_logic;
2553
  signal sysgen_dut_to_register23_clr_x0: std_logic;
2554
  signal sysgen_dut_to_register23_data_in: std_logic;
2555
  signal sysgen_dut_to_register23_data_in_x0: std_logic_vector(31 downto 0);
2556
  signal sysgen_dut_to_register23_en: std_logic;
2557
  signal sysgen_dut_to_register23_en_x0: std_logic;
2558
  signal sysgen_dut_to_register24_ce: std_logic;
2559
  signal sysgen_dut_to_register24_ce_x0: std_logic;
2560
  signal sysgen_dut_to_register24_clk: std_logic;
2561
  signal sysgen_dut_to_register24_clk_x0: std_logic;
2562
  signal sysgen_dut_to_register24_clr: std_logic;
2563
  signal sysgen_dut_to_register24_clr_x0: std_logic;
2564
  signal sysgen_dut_to_register24_data_in: std_logic_vector(31 downto 0);
2565
  signal sysgen_dut_to_register24_data_in_x0: std_logic;
2566
  signal sysgen_dut_to_register24_en: std_logic;
2567
  signal sysgen_dut_to_register24_en_x0: std_logic;
2568
  signal sysgen_dut_to_register25_ce: std_logic;
2569
  signal sysgen_dut_to_register25_ce_x0: std_logic;
2570
  signal sysgen_dut_to_register25_clk: std_logic;
2571
  signal sysgen_dut_to_register25_clk_x0: std_logic;
2572
  signal sysgen_dut_to_register25_clr: std_logic;
2573
  signal sysgen_dut_to_register25_clr_x0: std_logic;
2574
  signal sysgen_dut_to_register25_data_in: std_logic;
2575
  signal sysgen_dut_to_register25_data_in_x0: std_logic_vector(31 downto 0);
2576
  signal sysgen_dut_to_register25_en: std_logic;
2577
  signal sysgen_dut_to_register25_en_x0: std_logic;
2578
  signal sysgen_dut_to_register26_ce: std_logic;
2579
  signal sysgen_dut_to_register26_ce_x0: std_logic;
2580
  signal sysgen_dut_to_register26_clk: std_logic;
2581
  signal sysgen_dut_to_register26_clk_x0: std_logic;
2582
  signal sysgen_dut_to_register26_clr: std_logic;
2583
  signal sysgen_dut_to_register26_clr_x0: std_logic;
2584
  signal sysgen_dut_to_register26_data_in: std_logic_vector(31 downto 0);
2585
  signal sysgen_dut_to_register26_data_in_x0: std_logic;
2586
  signal sysgen_dut_to_register26_en: std_logic;
2587
  signal sysgen_dut_to_register26_en_x0: std_logic;
2588
  signal sysgen_dut_to_register27_ce: std_logic;
2589
  signal sysgen_dut_to_register27_ce_x0: std_logic;
2590
  signal sysgen_dut_to_register27_clk: std_logic;
2591
  signal sysgen_dut_to_register27_clk_x0: std_logic;
2592
  signal sysgen_dut_to_register27_clr: std_logic;
2593
  signal sysgen_dut_to_register27_clr_x0: std_logic;
2594
  signal sysgen_dut_to_register27_data_in: std_logic;
2595
  signal sysgen_dut_to_register27_data_in_x0: std_logic_vector(31 downto 0);
2596
  signal sysgen_dut_to_register27_en: std_logic;
2597
  signal sysgen_dut_to_register27_en_x0: std_logic;
2598
  signal sysgen_dut_to_register28_ce: std_logic;
2599
  signal sysgen_dut_to_register28_clk: std_logic;
2600
  signal sysgen_dut_to_register28_clr: std_logic;
2601
  signal sysgen_dut_to_register28_data_in: std_logic_vector(31 downto 0);
2602
  signal sysgen_dut_to_register28_en: std_logic;
2603
  signal sysgen_dut_to_register29_ce: std_logic;
2604
  signal sysgen_dut_to_register29_clk: std_logic;
2605
  signal sysgen_dut_to_register29_clr: std_logic;
2606
  signal sysgen_dut_to_register29_data_in: std_logic;
2607
  signal sysgen_dut_to_register29_en: std_logic;
2608
  signal sysgen_dut_to_register2_ce: std_logic;
2609
  signal sysgen_dut_to_register2_ce_x0: std_logic;
2610
  signal sysgen_dut_to_register2_clk: std_logic;
2611
  signal sysgen_dut_to_register2_clk_x0: std_logic;
2612
  signal sysgen_dut_to_register2_clr: std_logic;
2613
  signal sysgen_dut_to_register2_clr_x0: std_logic;
2614
  signal sysgen_dut_to_register2_data_in: std_logic_vector(31 downto 0);
2615
  signal sysgen_dut_to_register2_data_in_x0: std_logic_vector(31 downto 0);
2616
  signal sysgen_dut_to_register2_en: std_logic;
2617
  signal sysgen_dut_to_register2_en_x0: std_logic;
2618
  signal sysgen_dut_to_register30_ce: std_logic;
2619
  signal sysgen_dut_to_register30_clk: std_logic;
2620
  signal sysgen_dut_to_register30_clr: std_logic;
2621
  signal sysgen_dut_to_register30_data_in: std_logic_vector(31 downto 0);
2622
  signal sysgen_dut_to_register30_en: std_logic;
2623
  signal sysgen_dut_to_register31_ce: std_logic;
2624
  signal sysgen_dut_to_register31_clk: std_logic;
2625
  signal sysgen_dut_to_register31_clr: std_logic;
2626
  signal sysgen_dut_to_register31_data_in: std_logic;
2627
  signal sysgen_dut_to_register31_en: std_logic;
2628
  signal sysgen_dut_to_register32_ce: std_logic;
2629
  signal sysgen_dut_to_register32_clk: std_logic;
2630
  signal sysgen_dut_to_register32_clr: std_logic;
2631
  signal sysgen_dut_to_register32_data_in: std_logic_vector(31 downto 0);
2632
  signal sysgen_dut_to_register32_en: std_logic;
2633
  signal sysgen_dut_to_register33_ce: std_logic;
2634
  signal sysgen_dut_to_register33_clk: std_logic;
2635
  signal sysgen_dut_to_register33_clr: std_logic;
2636
  signal sysgen_dut_to_register33_data_in: std_logic;
2637
  signal sysgen_dut_to_register33_en: std_logic;
2638
  signal sysgen_dut_to_register34_ce: std_logic;
2639
  signal sysgen_dut_to_register34_clk: std_logic;
2640
  signal sysgen_dut_to_register34_clr: std_logic;
2641
  signal sysgen_dut_to_register34_data_in: std_logic_vector(31 downto 0);
2642
  signal sysgen_dut_to_register34_en: std_logic;
2643
  signal sysgen_dut_to_register3_ce: std_logic;
2644
  signal sysgen_dut_to_register3_ce_x0: std_logic;
2645
  signal sysgen_dut_to_register3_clk: std_logic;
2646
  signal sysgen_dut_to_register3_clk_x0: std_logic;
2647
  signal sysgen_dut_to_register3_clr: std_logic;
2648
  signal sysgen_dut_to_register3_clr_x0: std_logic;
2649
  signal sysgen_dut_to_register3_data_in: std_logic;
2650
  signal sysgen_dut_to_register3_data_in_x0: std_logic_vector(31 downto 0);
2651
  signal sysgen_dut_to_register3_en: std_logic;
2652
  signal sysgen_dut_to_register3_en_x0: std_logic;
2653
  signal sysgen_dut_to_register4_ce: std_logic;
2654
  signal sysgen_dut_to_register4_ce_x0: std_logic;
2655
  signal sysgen_dut_to_register4_clk: std_logic;
2656
  signal sysgen_dut_to_register4_clk_x0: std_logic;
2657
  signal sysgen_dut_to_register4_clr: std_logic;
2658
  signal sysgen_dut_to_register4_clr_x0: std_logic;
2659
  signal sysgen_dut_to_register4_data_in: std_logic;
2660
  signal sysgen_dut_to_register4_data_in_x0: std_logic;
2661
  signal sysgen_dut_to_register4_en: std_logic;
2662
  signal sysgen_dut_to_register4_en_x0: std_logic;
2663
  signal sysgen_dut_to_register5_ce: std_logic;
2664
  signal sysgen_dut_to_register5_ce_x0: std_logic;
2665
  signal sysgen_dut_to_register5_clk: std_logic;
2666
  signal sysgen_dut_to_register5_clk_x0: std_logic;
2667
  signal sysgen_dut_to_register5_clr: std_logic;
2668
  signal sysgen_dut_to_register5_clr_x0: std_logic;
2669
  signal sysgen_dut_to_register5_data_in: std_logic_vector(31 downto 0);
2670
  signal sysgen_dut_to_register5_data_in_x0: std_logic;
2671
  signal sysgen_dut_to_register5_en: std_logic;
2672
  signal sysgen_dut_to_register5_en_x0: std_logic;
2673
  signal sysgen_dut_to_register6_ce: std_logic;
2674
  signal sysgen_dut_to_register6_ce_x0: std_logic;
2675
  signal sysgen_dut_to_register6_clk: std_logic;
2676
  signal sysgen_dut_to_register6_clk_x0: std_logic;
2677
  signal sysgen_dut_to_register6_clr: std_logic;
2678
  signal sysgen_dut_to_register6_clr_x0: std_logic;
2679
  signal sysgen_dut_to_register6_data_in: std_logic_vector(31 downto 0);
2680
  signal sysgen_dut_to_register6_data_in_x0: std_logic_vector(31 downto 0);
2681
  signal sysgen_dut_to_register6_en: std_logic;
2682
  signal sysgen_dut_to_register6_en_x0: std_logic;
2683
  signal sysgen_dut_to_register7_ce: std_logic;
2684
  signal sysgen_dut_to_register7_ce_x0: std_logic;
2685
  signal sysgen_dut_to_register7_clk: std_logic;
2686
  signal sysgen_dut_to_register7_clk_x0: std_logic;
2687
  signal sysgen_dut_to_register7_clr: std_logic;
2688
  signal sysgen_dut_to_register7_clr_x0: std_logic;
2689
  signal sysgen_dut_to_register7_data_in: std_logic_vector(31 downto 0);
2690
  signal sysgen_dut_to_register7_data_in_x0: std_logic;
2691
  signal sysgen_dut_to_register7_en: std_logic;
2692
  signal sysgen_dut_to_register7_en_x0: std_logic;
2693
  signal sysgen_dut_to_register8_ce: std_logic;
2694
  signal sysgen_dut_to_register8_ce_x0: std_logic;
2695
  signal sysgen_dut_to_register8_clk: std_logic;
2696
  signal sysgen_dut_to_register8_clk_x0: std_logic;
2697
  signal sysgen_dut_to_register8_clr: std_logic;
2698
  signal sysgen_dut_to_register8_clr_x0: std_logic;
2699
  signal sysgen_dut_to_register8_data_in: std_logic;
2700
  signal sysgen_dut_to_register8_data_in_x0: std_logic_vector(31 downto 0);
2701
  signal sysgen_dut_to_register8_en: std_logic;
2702
  signal sysgen_dut_to_register8_en_x0: std_logic;
2703
  signal sysgen_dut_to_register9_ce: std_logic;
2704
  signal sysgen_dut_to_register9_ce_x0: std_logic;
2705
  signal sysgen_dut_to_register9_clk: std_logic;
2706
  signal sysgen_dut_to_register9_clk_x0: std_logic;
2707
  signal sysgen_dut_to_register9_clr: std_logic;
2708
  signal sysgen_dut_to_register9_clr_x0: std_logic;
2709
  signal sysgen_dut_to_register9_data_in: std_logic_vector(31 downto 0);
2710
  signal sysgen_dut_to_register9_data_in_x0: std_logic_vector(31 downto 0);
2711
  signal sysgen_dut_to_register9_en: std_logic;
2712
  signal sysgen_dut_to_register9_en_x0: std_logic;
2713
  signal sysgen_dut_to_register_ce: std_logic;
2714
  signal sysgen_dut_to_register_clk: std_logic;
2715
  signal sysgen_dut_to_register_clr: std_logic;
2716
  signal sysgen_dut_to_register_data_in: std_logic_vector(31 downto 0);
2717
  signal sysgen_dut_to_register_en: std_logic;
2718
  signal x: std_logic;
2719
  signal x_x0: std_logic;
2720
  signal x_x1: std_logic_vector(31 downto 0);
2721
  signal x_x10: std_logic;
2722
  signal x_x11: std_logic_vector(31 downto 0);
2723
  signal x_x12: std_logic;
2724
  signal x_x13: std_logic_vector(31 downto 0);
2725
  signal x_x14: std_logic;
2726
  signal x_x15: std_logic_vector(31 downto 0);
2727
  signal x_x16: std_logic;
2728
  signal x_x17: std_logic_vector(31 downto 0);
2729
  signal x_x18: std_logic;
2730
  signal x_x19: std_logic_vector(31 downto 0);
2731
  signal x_x2: std_logic_vector(31 downto 0);
2732
  signal x_x20: std_logic;
2733
  signal x_x21: std_logic_vector(31 downto 0);
2734
  signal x_x22: std_logic;
2735
  signal x_x23: std_logic_vector(31 downto 0);
2736
  signal x_x24: std_logic;
2737
  signal x_x25: std_logic_vector(31 downto 0);
2738
  signal x_x26: std_logic;
2739
  signal x_x27: std_logic_vector(31 downto 0);
2740
  signal x_x28: std_logic;
2741
  signal x_x29: std_logic_vector(31 downto 0);
2742
  signal x_x3: std_logic_vector(31 downto 0);
2743
  signal x_x30: std_logic;
2744
  signal x_x31: std_logic_vector(31 downto 0);
2745
  signal x_x32: std_logic;
2746
  signal x_x33: std_logic_vector(31 downto 0);
2747
  signal x_x34: std_logic;
2748
  signal x_x35: std_logic_vector(31 downto 0);
2749
  signal x_x36: std_logic;
2750
  signal x_x37: std_logic_vector(31 downto 0);
2751
  signal x_x38: std_logic;
2752
  signal x_x39: std_logic_vector(31 downto 0);
2753
  signal x_x4: std_logic_vector(31 downto 0);
2754
  signal x_x40: std_logic;
2755
  signal x_x41: std_logic_vector(31 downto 0);
2756
  signal x_x42: std_logic;
2757
  signal x_x43: std_logic_vector(31 downto 0);
2758
  signal x_x44: std_logic;
2759
  signal x_x45: std_logic_vector(31 downto 0);
2760
  signal x_x46: std_logic;
2761
  signal x_x47: std_logic_vector(31 downto 0);
2762
  signal x_x48: std_logic;
2763
  signal x_x49: std_logic_vector(31 downto 0);
2764
  signal x_x5: std_logic;
2765
  signal x_x50: std_logic;
2766
  signal x_x51: std_logic_vector(31 downto 0);
2767
  signal x_x52: std_logic;
2768
  signal x_x53: std_logic_vector(31 downto 0);
2769
  signal x_x54: std_logic;
2770
  signal x_x55: std_logic_vector(31 downto 0);
2771
  signal x_x56: std_logic;
2772
  signal x_x57: std_logic_vector(31 downto 0);
2773
  signal x_x58: std_logic;
2774
  signal x_x59: std_logic_vector(31 downto 0);
2775
  signal x_x6: std_logic;
2776
  signal x_x60: std_logic;
2777
  signal x_x61: std_logic_vector(31 downto 0);
2778
  signal x_x62: std_logic;
2779
  signal x_x63: std_logic_vector(11 downto 0);
2780
  signal x_x64: std_logic_vector(63 downto 0);
2781
  signal x_x65: std_logic_vector(11 downto 0);
2782
  signal x_x66: std_logic_vector(63 downto 0);
2783
  signal x_x67: std_logic_vector(7 downto 0);
2784
  signal x_x68: std_logic;
2785
  signal x_x69: std_logic;
2786
  signal x_x7: std_logic_vector(31 downto 0);
2787
  signal x_x70: std_logic_vector(14 downto 0);
2788
  signal x_x71: std_logic_vector(71 downto 0);
2789
  signal x_x72: std_logic;
2790
  signal x_x73: std_logic;
2791
  signal x_x74: std_logic;
2792
  signal x_x75: std_logic;
2793
  signal x_x76: std_logic_vector(14 downto 0);
2794
  signal x_x77: std_logic_vector(71 downto 0);
2795
  signal x_x78: std_logic;
2796
  signal x_x79: std_logic;
2797
  signal x_x8: std_logic;
2798
  signal x_x80: std_logic;
2799
  signal x_x81: std_logic;
2800
  signal x_x82: std_logic;
2801
  signal x_x83: std_logic;
2802
  signal x_x84: std_logic;
2803
  signal x_x85: std_logic;
2804
  signal x_x9: std_logic_vector(31 downto 0);
2805
 
2806
begin
2807
  x_x64 <= bram_rd_dout;
2808
  x_x1 <= debug_in_1i;
2809
  x_x2 <= debug_in_2i;
2810
  x_x3 <= debug_in_3i;
2811
  x_x4 <= debug_in_4i;
2812
  x_x5 <= dma_host2board_busy;
2813
  x_x6 <= dma_host2board_done;
2814
  x_x70 <= fifo_rd_count;
2815
  x_x71 <= fifo_rd_dout;
2816
  x_x72 <= fifo_rd_empty;
2817
  x_x74 <= fifo_rd_pempty;
2818
  x_x75 <= fifo_rd_valid;
2819
  x_x76 <= fifo_wr_count;
2820
  x_x79 <= fifo_wr_full;
2821
  x_x80 <= fifo_wr_pfull;
2822
  x <= inout_logic_cw_ce;
2823
  x_x0 <= inout_logic_cw_clk;
2824
  x_x9 <= reg01_td;
2825
  x_x10 <= reg01_tv;
2826
  x_x13 <= reg02_td;
2827
  x_x14 <= reg02_tv;
2828
  x_x17 <= reg03_td;
2829
  x_x18 <= reg03_tv;
2830
  x_x21 <= reg04_td;
2831
  x_x22 <= reg04_tv;
2832
  x_x25 <= reg05_td;
2833
  x_x26 <= reg05_tv;
2834
  x_x29 <= reg06_td;
2835
  x_x30 <= reg06_tv;
2836
  x_x33 <= reg07_td;
2837
  x_x34 <= reg07_tv;
2838
  x_x37 <= reg08_td;
2839
  x_x38 <= reg08_tv;
2840
  x_x41 <= reg09_td;
2841
  x_x42 <= reg09_tv;
2842
  x_x45 <= reg10_td;
2843
  x_x46 <= reg10_tv;
2844
  x_x49 <= reg11_td;
2845
  x_x50 <= reg11_tv;
2846
  x_x53 <= reg12_td;
2847
  x_x54 <= reg12_tv;
2848
  x_x57 <= reg13_td;
2849
  x_x58 <= reg13_tv;
2850
  x_x61 <= reg14_td;
2851
  x_x62 <= reg14_tv;
2852
  x_x81 <= rst_i;
2853
  x_x68 <= user_logic_cw_ce;
2854
  x_x69 <= user_logic_cw_clk;
2855
  bram_rd_addr <= x_x63;
2856
  bram_wr_addr <= x_x65;
2857
  bram_wr_din <= x_x66;
2858
  bram_wr_en <= x_x67;
2859
  fifo_rd_en <= x_x73;
2860
  fifo_wr_din <= x_x77;
2861
  fifo_wr_en <= x_x78;
2862
  reg01_rd <= x_x7;
2863
  reg01_rv <= x_x8;
2864
  reg02_rd <= x_x11;
2865
  reg02_rv <= x_x12;
2866
  reg03_rd <= x_x15;
2867
  reg03_rv <= x_x16;
2868
  reg04_rd <= x_x19;
2869
  reg04_rv <= x_x20;
2870
  reg05_rd <= x_x23;
2871
  reg05_rv <= x_x24;
2872
  reg06_rd <= x_x27;
2873
  reg06_rv <= x_x28;
2874
  reg07_rd <= x_x31;
2875
  reg07_rv <= x_x32;
2876
  reg08_rd <= x_x35;
2877
  reg08_rv <= x_x36;
2878
  reg09_rd <= x_x39;
2879
  reg09_rv <= x_x40;
2880
  reg10_rd <= x_x43;
2881
  reg10_rv <= x_x44;
2882
  reg11_rd <= x_x47;
2883
  reg11_rv <= x_x48;
2884
  reg12_rd <= x_x51;
2885
  reg12_rv <= x_x52;
2886
  reg13_rd <= x_x55;
2887
  reg13_rv <= x_x56;
2888
  reg14_rd <= x_x59;
2889
  reg14_rv <= x_x60;
2890
  rst_o <= x_x82;
2891
  user_int_1o <= x_x83;
2892
  user_int_2o <= x_x84;
2893
  user_int_3o <= x_x85;
2894
 
2895
  DMA_Host2Board_Busy_ce_and2_comp: entity work.xland2
2896
    port map (
2897
      a => sysgen_dut_to_register18_ce,
2898
      b => sysgen_dut_to_register18_en,
2899
      dout => DMA_Host2Board_Busy_reg_ce
2900
    );
2901
 
2902
  DMA_Host2Board_Busy_x0: entity work.synth_reg_w_init
2903
    generic map (
2904
      width => 1,
2905
      init_index => 2,
2906
      init_value => b"0",
2907
      latency => 1
2908
    )
2909
    port map (
2910
      ce => DMA_Host2Board_Busy_reg_ce,
2911
      clk => sysgen_dut_to_register18_clk,
2912
      clr => sysgen_dut_to_register18_clr,
2913
      i(0) => sysgen_dut_to_register18_data_in,
2914
      o(0) => from_register16_data_out_x0
2915
    );
2916
 
2917
  DMA_Host2Board_Done_ce_and2_comp: entity work.xland2
2918
    port map (
2919
      a => sysgen_dut_to_register19_ce,
2920
      b => sysgen_dut_to_register19_en,
2921
      dout => DMA_Host2Board_Done_reg_ce
2922
    );
2923
 
2924
  DMA_Host2Board_Done_x0: entity work.synth_reg_w_init
2925
    generic map (
2926
      width => 1,
2927
      init_index => 2,
2928
      init_value => b"0",
2929
      latency => 1
2930
    )
2931
    port map (
2932
      ce => DMA_Host2Board_Done_reg_ce,
2933
      clk => sysgen_dut_to_register19_clk,
2934
      clr => sysgen_dut_to_register19_clr,
2935
      i(0) => sysgen_dut_to_register19_data_in,
2936
      o(0) => from_register15_data_out_x0
2937
    );
2938
 
2939
  debug1i: entity work.synth_reg_w_init
2940
    generic map (
2941
      width => 32,
2942
      init_index => 2,
2943
      init_value => b"00000000000000000000000000000000",
2944
      latency => 1
2945
    )
2946
    port map (
2947
      ce => debug1i_reg_ce,
2948
      clk => sysgen_dut_to_register6_clk,
2949
      clr => sysgen_dut_to_register6_clr,
2950
      i => sysgen_dut_to_register6_data_in,
2951
      o => from_register_data_out
2952
    );
2953
 
2954
  debug1i_ce_and2_comp: entity work.xland2
2955
    port map (
2956
      a => sysgen_dut_to_register6_ce,
2957
      b => sysgen_dut_to_register6_en,
2958
      dout => debug1i_reg_ce
2959
    );
2960
 
2961
  debug2i: entity work.synth_reg_w_init
2962
    generic map (
2963
      width => 32,
2964
      init_index => 2,
2965
      init_value => b"00000000000000000000000000000000",
2966
      latency => 1
2967
    )
2968
    port map (
2969
      ce => debug2i_reg_ce,
2970
      clk => sysgen_dut_to_register1_clk,
2971
      clr => sysgen_dut_to_register1_clr,
2972
      i => sysgen_dut_to_register1_data_in,
2973
      o => from_register1_data_out_x0
2974
    );
2975
 
2976
  debug2i_ce_and2_comp: entity work.xland2
2977
    port map (
2978
      a => sysgen_dut_to_register1_ce,
2979
      b => sysgen_dut_to_register1_en,
2980
      dout => debug2i_reg_ce
2981
    );
2982
 
2983
  debug3i: entity work.synth_reg_w_init
2984
    generic map (
2985
      width => 32,
2986
      init_index => 2,
2987
      init_value => b"00000000000000000000000000000000",
2988
      latency => 1
2989
    )
2990
    port map (
2991
      ce => debug3i_reg_ce,
2992
      clk => sysgen_dut_to_register2_clk,
2993
      clr => sysgen_dut_to_register2_clr,
2994
      i => sysgen_dut_to_register2_data_in,
2995
      o => from_register2_data_out_x0
2996
    );
2997
 
2998
  debug3i_ce_and2_comp: entity work.xland2
2999
    port map (
3000
      a => sysgen_dut_to_register2_ce,
3001
      b => sysgen_dut_to_register2_en,
3002
      dout => debug3i_reg_ce
3003
    );
3004
 
3005
  debug4i: entity work.synth_reg_w_init
3006
    generic map (
3007
      width => 32,
3008
      init_index => 2,
3009
      init_value => b"00000000000000000000000000000000",
3010
      latency => 1
3011
    )
3012
    port map (
3013
      ce => debug4i_reg_ce,
3014
      clk => sysgen_dut_to_register20_clk,
3015
      clr => sysgen_dut_to_register20_clr,
3016
      i => sysgen_dut_to_register20_data_in,
3017
      o => from_register19_data_out_x0
3018
    );
3019
 
3020
  debug4i_ce_and2_comp: entity work.xland2
3021
    port map (
3022
      a => sysgen_dut_to_register20_ce,
3023
      b => sysgen_dut_to_register20_en,
3024
      dout => debug4i_reg_ce
3025
    );
3026
 
3027
  register01rd: entity work.synth_reg_w_init
3028
    generic map (
3029
      width => 32,
3030
      init_index => 2,
3031
      init_value => b"00000000000000000000000000000000",
3032
      latency => 1
3033
    )
3034
    port map (
3035
      ce => register01rd_reg_ce,
3036
      clk => sysgen_dut_to_register_clk,
3037
      clr => sysgen_dut_to_register_clr,
3038
      i => sysgen_dut_to_register_data_in,
3039
      o => from_register3_data_out
3040
    );
3041
 
3042
  register01rd_ce_and2_comp: entity work.xland2
3043
    port map (
3044
      a => sysgen_dut_to_register_ce,
3045
      b => sysgen_dut_to_register_en,
3046
      dout => register01rd_reg_ce
3047
    );
3048
 
3049
  register01rv: entity work.synth_reg_w_init
3050
    generic map (
3051
      width => 1,
3052
      init_index => 2,
3053
      init_value => b"0",
3054
      latency => 1
3055
    )
3056
    port map (
3057
      ce => register01rv_reg_ce,
3058
      clk => sysgen_dut_to_register1_clk_x0,
3059
      clr => sysgen_dut_to_register1_clr_x0,
3060
      i(0) => sysgen_dut_to_register1_data_in_x0,
3061
      o(0) => from_register1_data_out
3062
    );
3063
 
3064
  register01rv_ce_and2_comp: entity work.xland2
3065
    port map (
3066
      a => sysgen_dut_to_register1_ce_x0,
3067
      b => sysgen_dut_to_register1_en_x0,
3068
      dout => register01rv_reg_ce
3069
    );
3070
 
3071
  register01td: entity work.synth_reg_w_init
3072
    generic map (
3073
      width => 32,
3074
      init_index => 2,
3075
      init_value => b"00000000000000000000000000000000",
3076
      latency => 1
3077
    )
3078
    port map (
3079
      ce => register01td_reg_ce,
3080
      clk => sysgen_dut_to_register7_clk,
3081
      clr => sysgen_dut_to_register7_clr,
3082
      i => sysgen_dut_to_register7_data_in,
3083
      o => from_register3_data_out_x0
3084
    );
3085
 
3086
  register01td_ce_and2_comp: entity work.xland2
3087
    port map (
3088
      a => sysgen_dut_to_register7_ce,
3089
      b => sysgen_dut_to_register7_en,
3090
      dout => register01td_reg_ce
3091
    );
3092
 
3093
  register01tv: entity work.synth_reg_w_init
3094
    generic map (
3095
      width => 1,
3096
      init_index => 2,
3097
      init_value => b"0",
3098
      latency => 1
3099
    )
3100
    port map (
3101
      ce => register01tv_reg_ce,
3102
      clk => sysgen_dut_to_register3_clk,
3103
      clr => sysgen_dut_to_register3_clr,
3104
      i(0) => sysgen_dut_to_register3_data_in,
3105
      o(0) => from_register4_data_out_x0
3106
    );
3107
 
3108
  register01tv_ce_and2_comp: entity work.xland2
3109
    port map (
3110
      a => sysgen_dut_to_register3_ce,
3111
      b => sysgen_dut_to_register3_en,
3112
      dout => register01tv_reg_ce
3113
    );
3114
 
3115
  register02rd: entity work.synth_reg_w_init
3116
    generic map (
3117
      width => 32,
3118
      init_index => 2,
3119
      init_value => b"00000000000000000000000000000000",
3120
      latency => 1
3121
    )
3122
    port map (
3123
      ce => register02rd_reg_ce,
3124
      clk => sysgen_dut_to_register2_clk_x0,
3125
      clr => sysgen_dut_to_register2_clr_x0,
3126
      i => sysgen_dut_to_register2_data_in_x0,
3127
      o => from_register5_data_out
3128
    );
3129
 
3130
  register02rd_ce_and2_comp: entity work.xland2
3131
    port map (
3132
      a => sysgen_dut_to_register2_ce_x0,
3133
      b => sysgen_dut_to_register2_en_x0,
3134
      dout => register02rd_reg_ce
3135
    );
3136
 
3137
  register02rv: entity work.synth_reg_w_init
3138
    generic map (
3139
      width => 1,
3140
      init_index => 2,
3141
      init_value => b"0",
3142
      latency => 1
3143
    )
3144
    port map (
3145
      ce => register02rv_reg_ce,
3146
      clk => sysgen_dut_to_register4_clk_x0,
3147
      clr => sysgen_dut_to_register4_clr_x0,
3148
      i(0) => sysgen_dut_to_register4_data_in_x0,
3149
      o(0) => from_register2_data_out
3150
    );
3151
 
3152
  register02rv_ce_and2_comp: entity work.xland2
3153
    port map (
3154
      a => sysgen_dut_to_register4_ce_x0,
3155
      b => sysgen_dut_to_register4_en_x0,
3156
      dout => register02rv_reg_ce
3157
    );
3158
 
3159
  register02td: entity work.synth_reg_w_init
3160
    generic map (
3161
      width => 32,
3162
      init_index => 2,
3163
      init_value => b"00000000000000000000000000000000",
3164
      latency => 1
3165
    )
3166
    port map (
3167
      ce => register02td_reg_ce,
3168
      clk => sysgen_dut_to_register5_clk,
3169
      clr => sysgen_dut_to_register5_clr,
3170
      i => sysgen_dut_to_register5_data_in,
3171
      o => from_register5_data_out_x0
3172
    );
3173
 
3174
  register02td_ce_and2_comp: entity work.xland2
3175
    port map (
3176
      a => sysgen_dut_to_register5_ce,
3177
      b => sysgen_dut_to_register5_en,
3178
      dout => register02td_reg_ce
3179
    );
3180
 
3181
  register02tv: entity work.synth_reg_w_init
3182
    generic map (
3183
      width => 1,
3184
      init_index => 2,
3185
      init_value => b"0",
3186
      latency => 1
3187
    )
3188
    port map (
3189
      ce => register02tv_reg_ce,
3190
      clk => sysgen_dut_to_register4_clk,
3191
      clr => sysgen_dut_to_register4_clr,
3192
      i(0) => sysgen_dut_to_register4_data_in,
3193
      o(0) => from_register6_data_out_x0
3194
    );
3195
 
3196
  register02tv_ce_and2_comp: entity work.xland2
3197
    port map (
3198
      a => sysgen_dut_to_register4_ce,
3199
      b => sysgen_dut_to_register4_en,
3200
      dout => register02tv_reg_ce
3201
    );
3202
 
3203
  register03rd: entity work.synth_reg_w_init
3204
    generic map (
3205
      width => 32,
3206
      init_index => 2,
3207
      init_value => b"00000000000000000000000000000000",
3208
      latency => 1
3209
    )
3210
    port map (
3211
      ce => register03rd_reg_ce,
3212
      clk => sysgen_dut_to_register3_clk_x0,
3213
      clr => sysgen_dut_to_register3_clr_x0,
3214
      i => sysgen_dut_to_register3_data_in_x0,
3215
      o => from_register7_data_out
3216
    );
3217
 
3218
  register03rd_ce_and2_comp: entity work.xland2
3219
    port map (
3220
      a => sysgen_dut_to_register3_ce_x0,
3221
      b => sysgen_dut_to_register3_en_x0,
3222
      dout => register03rd_reg_ce
3223
    );
3224
 
3225
  register03rv: entity work.synth_reg_w_init
3226
    generic map (
3227
      width => 1,
3228
      init_index => 2,
3229
      init_value => b"0",
3230
      latency => 1
3231
    )
3232
    port map (
3233
      ce => register03rv_reg_ce,
3234
      clk => sysgen_dut_to_register5_clk_x0,
3235
      clr => sysgen_dut_to_register5_clr_x0,
3236
      i(0) => sysgen_dut_to_register5_data_in_x0,
3237
      o(0) => from_register6_data_out
3238
    );
3239
 
3240
  register03rv_ce_and2_comp: entity work.xland2
3241
    port map (
3242
      a => sysgen_dut_to_register5_ce_x0,
3243
      b => sysgen_dut_to_register5_en_x0,
3244
      dout => register03rv_reg_ce
3245
    );
3246
 
3247
  register03td: entity work.synth_reg_w_init
3248
    generic map (
3249
      width => 32,
3250
      init_index => 2,
3251
      init_value => b"00000000000000000000000000000000",
3252
      latency => 1
3253
    )
3254
    port map (
3255
      ce => register03td_reg_ce,
3256
      clk => sysgen_dut_to_register9_clk,
3257
      clr => sysgen_dut_to_register9_clr,
3258
      i => sysgen_dut_to_register9_data_in,
3259
      o => from_register7_data_out_x0
3260
    );
3261
 
3262
  register03td_ce_and2_comp: entity work.xland2
3263
    port map (
3264
      a => sysgen_dut_to_register9_ce,
3265
      b => sysgen_dut_to_register9_en,
3266
      dout => register03td_reg_ce
3267
    );
3268
 
3269
  register03tv: entity work.synth_reg_w_init
3270
    generic map (
3271
      width => 1,
3272
      init_index => 2,
3273
      init_value => b"0",
3274
      latency => 1
3275
    )
3276
    port map (
3277
      ce => register03tv_reg_ce,
3278
      clk => sysgen_dut_to_register8_clk,
3279
      clr => sysgen_dut_to_register8_clr,
3280
      i(0) => sysgen_dut_to_register8_data_in,
3281
      o(0) => from_register8_data_out_x0
3282
    );
3283
 
3284
  register03tv_ce_and2_comp: entity work.xland2
3285
    port map (
3286
      a => sysgen_dut_to_register8_ce,
3287
      b => sysgen_dut_to_register8_en,
3288
      dout => register03tv_reg_ce
3289
    );
3290
 
3291
  register04rd: entity work.synth_reg_w_init
3292
    generic map (
3293
      width => 32,
3294
      init_index => 2,
3295
      init_value => b"00000000000000000000000000000000",
3296
      latency => 1
3297
    )
3298
    port map (
3299
      ce => register04rd_reg_ce,
3300
      clk => sysgen_dut_to_register6_clk_x0,
3301
      clr => sysgen_dut_to_register6_clr_x0,
3302
      i => sysgen_dut_to_register6_data_in_x0,
3303
      o => from_register8_data_out
3304
    );
3305
 
3306
  register04rd_ce_and2_comp: entity work.xland2
3307
    port map (
3308
      a => sysgen_dut_to_register6_ce_x0,
3309
      b => sysgen_dut_to_register6_en_x0,
3310
      dout => register04rd_reg_ce
3311
    );
3312
 
3313
  register04rv: entity work.synth_reg_w_init
3314
    generic map (
3315
      width => 1,
3316
      init_index => 2,
3317
      init_value => b"0",
3318
      latency => 1
3319
    )
3320
    port map (
3321
      ce => register04rv_reg_ce,
3322
      clk => sysgen_dut_to_register7_clk_x0,
3323
      clr => sysgen_dut_to_register7_clr_x0,
3324
      i(0) => sysgen_dut_to_register7_data_in_x0,
3325
      o(0) => from_register4_data_out
3326
    );
3327
 
3328
  register04rv_ce_and2_comp: entity work.xland2
3329
    port map (
3330
      a => sysgen_dut_to_register7_ce_x0,
3331
      b => sysgen_dut_to_register7_en_x0,
3332
      dout => register04rv_reg_ce
3333
    );
3334
 
3335
  register04td: entity work.synth_reg_w_init
3336
    generic map (
3337
      width => 32,
3338
      init_index => 2,
3339
      init_value => b"00000000000000000000000000000000",
3340
      latency => 1
3341
    )
3342
    port map (
3343
      ce => register04td_reg_ce,
3344
      clk => sysgen_dut_to_register11_clk,
3345
      clr => sysgen_dut_to_register11_clr,
3346
      i => sysgen_dut_to_register11_data_in,
3347
      o => from_register9_data_out_x0
3348
    );
3349
 
3350
  register04td_ce_and2_comp: entity work.xland2
3351
    port map (
3352
      a => sysgen_dut_to_register11_ce,
3353
      b => sysgen_dut_to_register11_en,
3354
      dout => register04td_reg_ce
3355
    );
3356
 
3357
  register04tv: entity work.synth_reg_w_init
3358
    generic map (
3359
      width => 1,
3360
      init_index => 2,
3361
      init_value => b"0",
3362
      latency => 1
3363
    )
3364
    port map (
3365
      ce => register04tv_reg_ce,
3366
      clk => sysgen_dut_to_register10_clk,
3367
      clr => sysgen_dut_to_register10_clr,
3368
      i(0) => sysgen_dut_to_register10_data_in,
3369
      o(0) => from_register10_data_out_x0
3370
    );
3371
 
3372
  register04tv_ce_and2_comp: entity work.xland2
3373
    port map (
3374
      a => sysgen_dut_to_register10_ce,
3375
      b => sysgen_dut_to_register10_en,
3376
      dout => register04tv_reg_ce
3377
    );
3378
 
3379
  register05rd: entity work.synth_reg_w_init
3380
    generic map (
3381
      width => 32,
3382
      init_index => 2,
3383
      init_value => b"00000000000000000000000000000000",
3384
      latency => 1
3385
    )
3386
    port map (
3387
      ce => register05rd_reg_ce,
3388
      clk => sysgen_dut_to_register8_clk_x0,
3389
      clr => sysgen_dut_to_register8_clr_x0,
3390
      i => sysgen_dut_to_register8_data_in_x0,
3391
      o => from_register10_data_out
3392
    );
3393
 
3394
  register05rd_ce_and2_comp: entity work.xland2
3395
    port map (
3396
      a => sysgen_dut_to_register8_ce_x0,
3397
      b => sysgen_dut_to_register8_en_x0,
3398
      dout => register05rd_reg_ce
3399
    );
3400
 
3401
  register05rv: entity work.synth_reg_w_init
3402
    generic map (
3403
      width => 1,
3404
      init_index => 2,
3405
      init_value => b"0",
3406
      latency => 1
3407
    )
3408
    port map (
3409
      ce => register05rv_reg_ce,
3410
      clk => sysgen_dut_to_register10_clk_x0,
3411
      clr => sysgen_dut_to_register10_clr_x0,
3412
      i(0) => sysgen_dut_to_register10_data_in_x0,
3413
      o(0) => from_register9_data_out
3414
    );
3415
 
3416
  register05rv_ce_and2_comp: entity work.xland2
3417
    port map (
3418
      a => sysgen_dut_to_register10_ce_x0,
3419
      b => sysgen_dut_to_register10_en_x0,
3420
      dout => register05rv_reg_ce
3421
    );
3422
 
3423
  register05td: entity work.synth_reg_w_init
3424
    generic map (
3425
      width => 32,
3426
      init_index => 2,
3427
      init_value => b"00000000000000000000000000000000",
3428
      latency => 1
3429
    )
3430
    port map (
3431
      ce => register05td_reg_ce,
3432
      clk => sysgen_dut_to_register13_clk,
3433
      clr => sysgen_dut_to_register13_clr,
3434
      i => sysgen_dut_to_register13_data_in,
3435
      o => from_register11_data_out_x0
3436
    );
3437
 
3438
  register05td_ce_and2_comp: entity work.xland2
3439
    port map (
3440
      a => sysgen_dut_to_register13_ce,
3441
      b => sysgen_dut_to_register13_en,
3442
      dout => register05td_reg_ce
3443
    );
3444
 
3445
  register05tv: entity work.synth_reg_w_init
3446
    generic map (
3447
      width => 1,
3448
      init_index => 2,
3449
      init_value => b"0",
3450
      latency => 1
3451
    )
3452
    port map (
3453
      ce => register05tv_reg_ce,
3454
      clk => sysgen_dut_to_register12_clk,
3455
      clr => sysgen_dut_to_register12_clr,
3456
      i(0) => sysgen_dut_to_register12_data_in,
3457
      o(0) => from_register12_data_out_x0
3458
    );
3459
 
3460
  register05tv_ce_and2_comp: entity work.xland2
3461
    port map (
3462
      a => sysgen_dut_to_register12_ce,
3463
      b => sysgen_dut_to_register12_en,
3464
      dout => register05tv_reg_ce
3465
    );
3466
 
3467
  register06rd: entity work.synth_reg_w_init
3468
    generic map (
3469
      width => 32,
3470
      init_index => 2,
3471
      init_value => b"00000000000000000000000000000000",
3472
      latency => 1
3473
    )
3474
    port map (
3475
      ce => register06rd_reg_ce,
3476
      clk => sysgen_dut_to_register9_clk_x0,
3477
      clr => sysgen_dut_to_register9_clr_x0,
3478
      i => sysgen_dut_to_register9_data_in_x0,
3479
      o => from_register11_data_out
3480
    );
3481
 
3482
  register06rd_ce_and2_comp: entity work.xland2
3483
    port map (
3484
      a => sysgen_dut_to_register9_ce_x0,
3485
      b => sysgen_dut_to_register9_en_x0,
3486
      dout => register06rd_reg_ce
3487
    );
3488
 
3489
  register06rv: entity work.synth_reg_w_init
3490
    generic map (
3491
      width => 1,
3492
      init_index => 2,
3493
      init_value => b"0",
3494
      latency => 1
3495
    )
3496
    port map (
3497
      ce => register06rv_reg_ce,
3498
      clk => sysgen_dut_to_register11_clk_x0,
3499
      clr => sysgen_dut_to_register11_clr_x0,
3500
      i(0) => sysgen_dut_to_register11_data_in_x0,
3501
      o(0) => from_register12_data_out
3502
    );
3503
 
3504
  register06rv_ce_and2_comp: entity work.xland2
3505
    port map (
3506
      a => sysgen_dut_to_register11_ce_x0,
3507
      b => sysgen_dut_to_register11_en_x0,
3508
      dout => register06rv_reg_ce
3509
    );
3510
 
3511
  register06td: entity work.synth_reg_w_init
3512
    generic map (
3513
      width => 32,
3514
      init_index => 2,
3515
      init_value => b"00000000000000000000000000000000",
3516
      latency => 1
3517
    )
3518
    port map (
3519
      ce => register06td_reg_ce,
3520
      clk => sysgen_dut_to_register15_clk,
3521
      clr => sysgen_dut_to_register15_clr,
3522
      i => sysgen_dut_to_register15_data_in,
3523
      o => from_register13_data_out_x0
3524
    );
3525
 
3526
  register06td_ce_and2_comp: entity work.xland2
3527
    port map (
3528
      a => sysgen_dut_to_register15_ce,
3529
      b => sysgen_dut_to_register15_en,
3530
      dout => register06td_reg_ce
3531
    );
3532
 
3533
  register06tv: entity work.synth_reg_w_init
3534
    generic map (
3535
      width => 1,
3536
      init_index => 2,
3537
      init_value => b"0",
3538
      latency => 1
3539
    )
3540
    port map (
3541
      ce => register06tv_reg_ce,
3542
      clk => sysgen_dut_to_register14_clk,
3543
      clr => sysgen_dut_to_register14_clr,
3544
      i(0) => sysgen_dut_to_register14_data_in,
3545
      o(0) => from_register14_data_out_x0
3546
    );
3547
 
3548
  register06tv_ce_and2_comp: entity work.xland2
3549
    port map (
3550
      a => sysgen_dut_to_register14_ce,
3551
      b => sysgen_dut_to_register14_en,
3552
      dout => register06tv_reg_ce
3553
    );
3554
 
3555
  register07rd: entity work.synth_reg_w_init
3556
    generic map (
3557
      width => 32,
3558
      init_index => 2,
3559
      init_value => b"00000000000000000000000000000000",
3560
      latency => 1
3561
    )
3562
    port map (
3563
      ce => register07rd_reg_ce,
3564
      clk => sysgen_dut_to_register13_clk_x0,
3565
      clr => sysgen_dut_to_register13_clr_x0,
3566
      i => sysgen_dut_to_register13_data_in_x0,
3567
      o => from_register13_data_out
3568
    );
3569
 
3570
  register07rd_ce_and2_comp: entity work.xland2
3571
    port map (
3572
      a => sysgen_dut_to_register13_ce_x0,
3573
      b => sysgen_dut_to_register13_en_x0,
3574
      dout => register07rd_reg_ce
3575
    );
3576
 
3577
  register07rv: entity work.synth_reg_w_init
3578
    generic map (
3579
      width => 1,
3580
      init_index => 2,
3581
      init_value => b"0",
3582
      latency => 1
3583
    )
3584
    port map (
3585
      ce => register07rv_reg_ce,
3586
      clk => sysgen_dut_to_register12_clk_x0,
3587
      clr => sysgen_dut_to_register12_clr_x0,
3588
      i(0) => sysgen_dut_to_register12_data_in_x0,
3589
      o(0) => from_register14_data_out
3590
    );
3591
 
3592
  register07rv_ce_and2_comp: entity work.xland2
3593
    port map (
3594
      a => sysgen_dut_to_register12_ce_x0,
3595
      b => sysgen_dut_to_register12_en_x0,
3596
      dout => register07rv_reg_ce
3597
    );
3598
 
3599
  register07td: entity work.synth_reg_w_init
3600
    generic map (
3601
      width => 32,
3602
      init_index => 2,
3603
      init_value => b"00000000000000000000000000000000",
3604
      latency => 1
3605
    )
3606
    port map (
3607
      ce => register07td_reg_ce,
3608
      clk => sysgen_dut_to_register17_clk,
3609
      clr => sysgen_dut_to_register17_clr,
3610
      i => sysgen_dut_to_register17_data_in,
3611
      o => from_register17_data_out_x0
3612
    );
3613
 
3614
  register07td_ce_and2_comp: entity work.xland2
3615
    port map (
3616
      a => sysgen_dut_to_register17_ce,
3617
      b => sysgen_dut_to_register17_en,
3618
      dout => register07td_reg_ce
3619
    );
3620
 
3621
  register07tv: entity work.synth_reg_w_init
3622
    generic map (
3623
      width => 1,
3624
      init_index => 2,
3625
      init_value => b"0",
3626
      latency => 1
3627
    )
3628
    port map (
3629
      ce => register07tv_reg_ce,
3630
      clk => sysgen_dut_to_register16_clk,
3631
      clr => sysgen_dut_to_register16_clr,
3632
      i(0) => sysgen_dut_to_register16_data_in,
3633
      o(0) => from_register18_data_out_x0
3634
    );
3635
 
3636
  register07tv_ce_and2_comp: entity work.xland2
3637
    port map (
3638
      a => sysgen_dut_to_register16_ce,
3639
      b => sysgen_dut_to_register16_en,
3640
      dout => register07tv_reg_ce
3641
    );
3642
 
3643
  register08rd: entity work.synth_reg_w_init
3644
    generic map (
3645
      width => 32,
3646
      init_index => 2,
3647
      init_value => b"00000000000000000000000000000000",
3648
      latency => 1
3649
    )
3650
    port map (
3651
      ce => register08rd_reg_ce,
3652
      clk => sysgen_dut_to_register15_clk_x0,
3653
      clr => sysgen_dut_to_register15_clr_x0,
3654
      i => sysgen_dut_to_register15_data_in_x0,
3655
      o => from_register15_data_out
3656
    );
3657
 
3658
  register08rd_ce_and2_comp: entity work.xland2
3659
    port map (
3660
      a => sysgen_dut_to_register15_ce_x0,
3661
      b => sysgen_dut_to_register15_en_x0,
3662
      dout => register08rd_reg_ce
3663
    );
3664
 
3665
  register08rv: entity work.synth_reg_w_init
3666
    generic map (
3667
      width => 1,
3668
      init_index => 2,
3669
      init_value => b"0",
3670
      latency => 1
3671
    )
3672
    port map (
3673
      ce => register08rv_reg_ce,
3674
      clk => sysgen_dut_to_register14_clk_x0,
3675
      clr => sysgen_dut_to_register14_clr_x0,
3676
      i(0) => sysgen_dut_to_register14_data_in_x0,
3677
      o(0) => from_register16_data_out
3678
    );
3679
 
3680
  register08rv_ce_and2_comp: entity work.xland2
3681
    port map (
3682
      a => sysgen_dut_to_register14_ce_x0,
3683
      b => sysgen_dut_to_register14_en_x0,
3684
      dout => register08rv_reg_ce
3685
    );
3686
 
3687
  register08td: entity work.synth_reg_w_init
3688
    generic map (
3689
      width => 32,
3690
      init_index => 2,
3691
      init_value => b"00000000000000000000000000000000",
3692
      latency => 1
3693
    )
3694
    port map (
3695
      ce => register08td_reg_ce,
3696
      clk => sysgen_dut_to_register26_clk,
3697
      clr => sysgen_dut_to_register26_clr,
3698
      i => sysgen_dut_to_register26_data_in,
3699
      o => from_register20_data_out_x0
3700
    );
3701
 
3702
  register08td_ce_and2_comp: entity work.xland2
3703
    port map (
3704
      a => sysgen_dut_to_register26_ce,
3705
      b => sysgen_dut_to_register26_en,
3706
      dout => register08td_reg_ce
3707
    );
3708
 
3709
  register08tv: entity work.synth_reg_w_init
3710
    generic map (
3711
      width => 1,
3712
      init_index => 2,
3713
      init_value => b"0",
3714
      latency => 1
3715
    )
3716
    port map (
3717
      ce => register08tv_reg_ce,
3718
      clk => sysgen_dut_to_register25_clk,
3719
      clr => sysgen_dut_to_register25_clr,
3720
      i(0) => sysgen_dut_to_register25_data_in,
3721
      o(0) => from_register21_data_out_x0
3722
    );
3723
 
3724
  register08tv_ce_and2_comp: entity work.xland2
3725
    port map (
3726
      a => sysgen_dut_to_register25_ce,
3727
      b => sysgen_dut_to_register25_en,
3728
      dout => register08tv_reg_ce
3729
    );
3730
 
3731
  register09rd: entity work.synth_reg_w_init
3732
    generic map (
3733
      width => 32,
3734
      init_index => 2,
3735
      init_value => b"00000000000000000000000000000000",
3736
      latency => 1
3737
    )
3738
    port map (
3739
      ce => register09rd_reg_ce,
3740
      clk => sysgen_dut_to_register17_clk_x0,
3741
      clr => sysgen_dut_to_register17_clr_x0,
3742
      i => sysgen_dut_to_register17_data_in_x0,
3743
      o => from_register17_data_out
3744
    );
3745
 
3746
  register09rd_ce_and2_comp: entity work.xland2
3747
    port map (
3748
      a => sysgen_dut_to_register17_ce_x0,
3749
      b => sysgen_dut_to_register17_en_x0,
3750
      dout => register09rd_reg_ce
3751
    );
3752
 
3753
  register09rv: entity work.synth_reg_w_init
3754
    generic map (
3755
      width => 1,
3756
      init_index => 2,
3757
      init_value => b"0",
3758
      latency => 1
3759
    )
3760
    port map (
3761
      ce => register09rv_reg_ce,
3762
      clk => sysgen_dut_to_register16_clk_x0,
3763
      clr => sysgen_dut_to_register16_clr_x0,
3764
      i(0) => sysgen_dut_to_register16_data_in_x0,
3765
      o(0) => from_register18_data_out
3766
    );
3767
 
3768
  register09rv_ce_and2_comp: entity work.xland2
3769
    port map (
3770
      a => sysgen_dut_to_register16_ce_x0,
3771
      b => sysgen_dut_to_register16_en_x0,
3772
      dout => register09rv_reg_ce
3773
    );
3774
 
3775
  register09td: entity work.synth_reg_w_init
3776
    generic map (
3777
      width => 32,
3778
      init_index => 2,
3779
      init_value => b"00000000000000000000000000000000",
3780
      latency => 1
3781
    )
3782
    port map (
3783
      ce => register09td_reg_ce,
3784
      clk => sysgen_dut_to_register22_clk,
3785
      clr => sysgen_dut_to_register22_clr,
3786
      i => sysgen_dut_to_register22_data_in,
3787
      o => from_register22_data_out_x0
3788
    );
3789
 
3790
  register09td_ce_and2_comp: entity work.xland2
3791
    port map (
3792
      a => sysgen_dut_to_register22_ce,
3793
      b => sysgen_dut_to_register22_en,
3794
      dout => register09td_reg_ce
3795
    );
3796
 
3797
  register09tv: entity work.synth_reg_w_init
3798
    generic map (
3799
      width => 1,
3800
      init_index => 2,
3801
      init_value => b"0",
3802
      latency => 1
3803
    )
3804
    port map (
3805
      ce => register09tv_reg_ce,
3806
      clk => sysgen_dut_to_register21_clk,
3807
      clr => sysgen_dut_to_register21_clr,
3808
      i(0) => sysgen_dut_to_register21_data_in,
3809
      o(0) => from_register23_data_out_x0
3810
    );
3811
 
3812
  register09tv_ce_and2_comp: entity work.xland2
3813
    port map (
3814
      a => sysgen_dut_to_register21_ce,
3815
      b => sysgen_dut_to_register21_en,
3816
      dout => register09tv_reg_ce
3817
    );
3818
 
3819
  register10rd: entity work.synth_reg_w_init
3820
    generic map (
3821
      width => 32,
3822
      init_index => 2,
3823
      init_value => b"00000000000000000000000000000000",
3824
      latency => 1
3825
    )
3826
    port map (
3827
      ce => register10rd_reg_ce,
3828
      clk => sysgen_dut_to_register19_clk_x0,
3829
      clr => sysgen_dut_to_register19_clr_x0,
3830
      i => sysgen_dut_to_register19_data_in_x0,
3831
      o => from_register19_data_out
3832
    );
3833
 
3834
  register10rd_ce_and2_comp: entity work.xland2
3835
    port map (
3836
      a => sysgen_dut_to_register19_ce_x0,
3837
      b => sysgen_dut_to_register19_en_x0,
3838
      dout => register10rd_reg_ce
3839
    );
3840
 
3841
  register10rv: entity work.synth_reg_w_init
3842
    generic map (
3843
      width => 1,
3844
      init_index => 2,
3845
      init_value => b"0",
3846
      latency => 1
3847
    )
3848
    port map (
3849
      ce => register10rv_reg_ce,
3850
      clk => sysgen_dut_to_register18_clk_x0,
3851
      clr => sysgen_dut_to_register18_clr_x0,
3852
      i(0) => sysgen_dut_to_register18_data_in_x0,
3853
      o(0) => from_register20_data_out
3854
    );
3855
 
3856
  register10rv_ce_and2_comp: entity work.xland2
3857
    port map (
3858
      a => sysgen_dut_to_register18_ce_x0,
3859
      b => sysgen_dut_to_register18_en_x0,
3860
      dout => register10rv_reg_ce
3861
    );
3862
 
3863
  register10td: entity work.synth_reg_w_init
3864
    generic map (
3865
      width => 32,
3866
      init_index => 2,
3867
      init_value => b"00000000000000000000000000000000",
3868
      latency => 1
3869
    )
3870
    port map (
3871
      ce => register10td_reg_ce,
3872
      clk => sysgen_dut_to_register24_clk,
3873
      clr => sysgen_dut_to_register24_clr,
3874
      i => sysgen_dut_to_register24_data_in,
3875
      o => from_register24_data_out_x0
3876
    );
3877
 
3878
  register10td_ce_and2_comp: entity work.xland2
3879
    port map (
3880
      a => sysgen_dut_to_register24_ce,
3881
      b => sysgen_dut_to_register24_en,
3882
      dout => register10td_reg_ce
3883
    );
3884
 
3885
  register10tv: entity work.synth_reg_w_init
3886
    generic map (
3887
      width => 1,
3888
      init_index => 2,
3889
      init_value => b"0",
3890
      latency => 1
3891
    )
3892
    port map (
3893
      ce => register10tv_reg_ce,
3894
      clk => sysgen_dut_to_register23_clk,
3895
      clr => sysgen_dut_to_register23_clr,
3896
      i(0) => sysgen_dut_to_register23_data_in,
3897
      o(0) => from_register25_data_out_x0
3898
    );
3899
 
3900
  register10tv_ce_and2_comp: entity work.xland2
3901
    port map (
3902
      a => sysgen_dut_to_register23_ce,
3903
      b => sysgen_dut_to_register23_en,
3904
      dout => register10tv_reg_ce
3905
    );
3906
 
3907
  register11rd: entity work.synth_reg_w_init
3908
    generic map (
3909
      width => 32,
3910
      init_index => 2,
3911
      init_value => b"00000000000000000000000000000000",
3912
      latency => 1
3913
    )
3914
    port map (
3915
      ce => register11rd_reg_ce,
3916
      clk => sysgen_dut_to_register21_clk_x0,
3917
      clr => sysgen_dut_to_register21_clr_x0,
3918
      i => sysgen_dut_to_register21_data_in_x0,
3919
      o => from_register21_data_out
3920
    );
3921
 
3922
  register11rd_ce_and2_comp: entity work.xland2
3923
    port map (
3924
      a => sysgen_dut_to_register21_ce_x0,
3925
      b => sysgen_dut_to_register21_en_x0,
3926
      dout => register11rd_reg_ce
3927
    );
3928
 
3929
  register11rv: entity work.synth_reg_w_init
3930
    generic map (
3931
      width => 1,
3932
      init_index => 2,
3933
      init_value => b"0",
3934
      latency => 1
3935
    )
3936
    port map (
3937
      ce => register11rv_reg_ce,
3938
      clk => sysgen_dut_to_register20_clk_x0,
3939
      clr => sysgen_dut_to_register20_clr_x0,
3940
      i(0) => sysgen_dut_to_register20_data_in_x0,
3941
      o(0) => from_register22_data_out
3942
    );
3943
 
3944
  register11rv_ce_and2_comp: entity work.xland2
3945
    port map (
3946
      a => sysgen_dut_to_register20_ce_x0,
3947
      b => sysgen_dut_to_register20_en_x0,
3948
      dout => register11rv_reg_ce
3949
    );
3950
 
3951
  register11td: entity work.synth_reg_w_init
3952
    generic map (
3953
      width => 32,
3954
      init_index => 2,
3955
      init_value => b"00000000000000000000000000000000",
3956
      latency => 1
3957
    )
3958
    port map (
3959
      ce => register11td_reg_ce,
3960
      clk => sysgen_dut_to_register28_clk,
3961
      clr => sysgen_dut_to_register28_clr,
3962
      i => sysgen_dut_to_register28_data_in,
3963
      o => from_register26_data_out_x0
3964
    );
3965
 
3966
  register11td_ce_and2_comp: entity work.xland2
3967
    port map (
3968
      a => sysgen_dut_to_register28_ce,
3969
      b => sysgen_dut_to_register28_en,
3970
      dout => register11td_reg_ce
3971
    );
3972
 
3973
  register11tv: entity work.synth_reg_w_init
3974
    generic map (
3975
      width => 1,
3976
      init_index => 2,
3977
      init_value => b"0",
3978
      latency => 1
3979
    )
3980
    port map (
3981
      ce => register11tv_reg_ce,
3982
      clk => sysgen_dut_to_register27_clk,
3983
      clr => sysgen_dut_to_register27_clr,
3984
      i(0) => sysgen_dut_to_register27_data_in,
3985
      o(0) => from_register27_data_out_x0
3986
    );
3987
 
3988
  register11tv_ce_and2_comp: entity work.xland2
3989
    port map (
3990
      a => sysgen_dut_to_register27_ce,
3991
      b => sysgen_dut_to_register27_en,
3992
      dout => register11tv_reg_ce
3993
    );
3994
 
3995
  register12rd: entity work.synth_reg_w_init
3996
    generic map (
3997
      width => 32,
3998
      init_index => 2,
3999
      init_value => b"00000000000000000000000000000000",
4000
      latency => 1
4001
    )
4002
    port map (
4003
      ce => register12rd_reg_ce,
4004
      clk => sysgen_dut_to_register23_clk_x0,
4005
      clr => sysgen_dut_to_register23_clr_x0,
4006
      i => sysgen_dut_to_register23_data_in_x0,
4007
      o => from_register23_data_out
4008
    );
4009
 
4010
  register12rd_ce_and2_comp: entity work.xland2
4011
    port map (
4012
      a => sysgen_dut_to_register23_ce_x0,
4013
      b => sysgen_dut_to_register23_en_x0,
4014
      dout => register12rd_reg_ce
4015
    );
4016
 
4017
  register12rv: entity work.synth_reg_w_init
4018
    generic map (
4019
      width => 1,
4020
      init_index => 2,
4021
      init_value => b"0",
4022
      latency => 1
4023
    )
4024
    port map (
4025
      ce => register12rv_reg_ce,
4026
      clk => sysgen_dut_to_register22_clk_x0,
4027
      clr => sysgen_dut_to_register22_clr_x0,
4028
      i(0) => sysgen_dut_to_register22_data_in_x0,
4029
      o(0) => from_register24_data_out
4030
    );
4031
 
4032
  register12rv_ce_and2_comp: entity work.xland2
4033
    port map (
4034
      a => sysgen_dut_to_register22_ce_x0,
4035
      b => sysgen_dut_to_register22_en_x0,
4036
      dout => register12rv_reg_ce
4037
    );
4038
 
4039
  register12td: entity work.synth_reg_w_init
4040
    generic map (
4041
      width => 32,
4042
      init_index => 2,
4043
      init_value => b"00000000000000000000000000000000",
4044
      latency => 1
4045
    )
4046
    port map (
4047
      ce => register12td_reg_ce,
4048
      clk => sysgen_dut_to_register30_clk,
4049
      clr => sysgen_dut_to_register30_clr,
4050
      i => sysgen_dut_to_register30_data_in,
4051
      o => from_register28_data_out_x0
4052
    );
4053
 
4054
  register12td_ce_and2_comp: entity work.xland2
4055
    port map (
4056
      a => sysgen_dut_to_register30_ce,
4057
      b => sysgen_dut_to_register30_en,
4058
      dout => register12td_reg_ce
4059
    );
4060
 
4061
  register12tv: entity work.synth_reg_w_init
4062
    generic map (
4063
      width => 1,
4064
      init_index => 2,
4065
      init_value => b"0",
4066
      latency => 1
4067
    )
4068
    port map (
4069
      ce => register12tv_reg_ce,
4070
      clk => sysgen_dut_to_register29_clk,
4071
      clr => sysgen_dut_to_register29_clr,
4072
      i(0) => sysgen_dut_to_register29_data_in,
4073
      o(0) => from_register29_data_out
4074
    );
4075
 
4076
  register12tv_ce_and2_comp: entity work.xland2
4077
    port map (
4078
      a => sysgen_dut_to_register29_ce,
4079
      b => sysgen_dut_to_register29_en,
4080
      dout => register12tv_reg_ce
4081
    );
4082
 
4083
  register13rd: entity work.synth_reg_w_init
4084
    generic map (
4085
      width => 32,
4086
      init_index => 2,
4087
      init_value => b"00000000000000000000000000000000",
4088
      latency => 1
4089
    )
4090
    port map (
4091
      ce => register13rd_reg_ce,
4092
      clk => sysgen_dut_to_register25_clk_x0,
4093
      clr => sysgen_dut_to_register25_clr_x0,
4094
      i => sysgen_dut_to_register25_data_in_x0,
4095
      o => from_register25_data_out
4096
    );
4097
 
4098
  register13rd_ce_and2_comp: entity work.xland2
4099
    port map (
4100
      a => sysgen_dut_to_register25_ce_x0,
4101
      b => sysgen_dut_to_register25_en_x0,
4102
      dout => register13rd_reg_ce
4103
    );
4104
 
4105
  register13rv: entity work.synth_reg_w_init
4106
    generic map (
4107
      width => 1,
4108
      init_index => 2,
4109
      init_value => b"0",
4110
      latency => 1
4111
    )
4112
    port map (
4113
      ce => register13rv_reg_ce,
4114
      clk => sysgen_dut_to_register24_clk_x0,
4115
      clr => sysgen_dut_to_register24_clr_x0,
4116
      i(0) => sysgen_dut_to_register24_data_in_x0,
4117
      o(0) => from_register26_data_out
4118
    );
4119
 
4120
  register13rv_ce_and2_comp: entity work.xland2
4121
    port map (
4122
      a => sysgen_dut_to_register24_ce_x0,
4123
      b => sysgen_dut_to_register24_en_x0,
4124
      dout => register13rv_reg_ce
4125
    );
4126
 
4127
  register13td: entity work.synth_reg_w_init
4128
    generic map (
4129
      width => 32,
4130
      init_index => 2,
4131
      init_value => b"00000000000000000000000000000000",
4132
      latency => 1
4133
    )
4134
    port map (
4135
      ce => register13td_reg_ce,
4136
      clk => sysgen_dut_to_register32_clk,
4137
      clr => sysgen_dut_to_register32_clr,
4138
      i => sysgen_dut_to_register32_data_in,
4139
      o => from_register30_data_out
4140
    );
4141
 
4142
  register13td_ce_and2_comp: entity work.xland2
4143
    port map (
4144
      a => sysgen_dut_to_register32_ce,
4145
      b => sysgen_dut_to_register32_en,
4146
      dout => register13td_reg_ce
4147
    );
4148
 
4149
  register13tv: entity work.synth_reg_w_init
4150
    generic map (
4151
      width => 1,
4152
      init_index => 2,
4153
      init_value => b"0",
4154
      latency => 1
4155
    )
4156
    port map (
4157
      ce => register13tv_reg_ce,
4158
      clk => sysgen_dut_to_register31_clk,
4159
      clr => sysgen_dut_to_register31_clr,
4160
      i(0) => sysgen_dut_to_register31_data_in,
4161
      o(0) => from_register31_data_out
4162
    );
4163
 
4164
  register13tv_ce_and2_comp: entity work.xland2
4165
    port map (
4166
      a => sysgen_dut_to_register31_ce,
4167
      b => sysgen_dut_to_register31_en,
4168
      dout => register13tv_reg_ce
4169
    );
4170
 
4171
  register14rd: entity work.synth_reg_w_init
4172
    generic map (
4173
      width => 32,
4174
      init_index => 2,
4175
      init_value => b"00000000000000000000000000000000",
4176
      latency => 1
4177
    )
4178
    port map (
4179
      ce => register14rd_reg_ce,
4180
      clk => sysgen_dut_to_register27_clk_x0,
4181
      clr => sysgen_dut_to_register27_clr_x0,
4182
      i => sysgen_dut_to_register27_data_in_x0,
4183
      o => from_register27_data_out
4184
    );
4185
 
4186
  register14rd_ce_and2_comp: entity work.xland2
4187
    port map (
4188
      a => sysgen_dut_to_register27_ce_x0,
4189
      b => sysgen_dut_to_register27_en_x0,
4190
      dout => register14rd_reg_ce
4191
    );
4192
 
4193
  register14rv: entity work.synth_reg_w_init
4194
    generic map (
4195
      width => 1,
4196
      init_index => 2,
4197
      init_value => b"0",
4198
      latency => 1
4199
    )
4200
    port map (
4201
      ce => register14rv_reg_ce,
4202
      clk => sysgen_dut_to_register26_clk_x0,
4203
      clr => sysgen_dut_to_register26_clr_x0,
4204
      i(0) => sysgen_dut_to_register26_data_in_x0,
4205
      o(0) => from_register28_data_out
4206
    );
4207
 
4208
  register14rv_ce_and2_comp: entity work.xland2
4209
    port map (
4210
      a => sysgen_dut_to_register26_ce_x0,
4211
      b => sysgen_dut_to_register26_en_x0,
4212
      dout => register14rv_reg_ce
4213
    );
4214
 
4215
  register14td: entity work.synth_reg_w_init
4216
    generic map (
4217
      width => 32,
4218
      init_index => 2,
4219
      init_value => b"00000000000000000000000000000000",
4220
      latency => 1
4221
    )
4222
    port map (
4223
      ce => register14td_reg_ce,
4224
      clk => sysgen_dut_to_register34_clk,
4225
      clr => sysgen_dut_to_register34_clr,
4226
      i => sysgen_dut_to_register34_data_in,
4227
      o => from_register32_data_out
4228
    );
4229
 
4230
  register14td_ce_and2_comp: entity work.xland2
4231
    port map (
4232
      a => sysgen_dut_to_register34_ce,
4233
      b => sysgen_dut_to_register34_en,
4234
      dout => register14td_reg_ce
4235
    );
4236
 
4237
  register14tv: entity work.synth_reg_w_init
4238
    generic map (
4239
      width => 1,
4240
      init_index => 2,
4241
      init_value => b"0",
4242
      latency => 1
4243
    )
4244
    port map (
4245
      ce => register14tv_reg_ce,
4246
      clk => sysgen_dut_to_register33_clk,
4247
      clr => sysgen_dut_to_register33_clr,
4248
      i(0) => sysgen_dut_to_register33_data_in,
4249
      o(0) => from_register33_data_out
4250
    );
4251
 
4252
  register14tv_ce_and2_comp: entity work.xland2
4253
    port map (
4254
      a => sysgen_dut_to_register33_ce,
4255
      b => sysgen_dut_to_register33_en,
4256
      dout => register14tv_reg_ce
4257
    );
4258
 
4259
  top_level_0: inout_logic_cw
4260
    port map (
4261
      ce => x,
4262
      clk => x_x0,
4263
      debug_in_1i => x_x1,
4264
      debug_in_2i => x_x2,
4265
      debug_in_3i => x_x3,
4266
      debug_in_4i => x_x4,
4267
      dma_host2board_busy => x_x5,
4268
      dma_host2board_done => x_x6,
4269
      from_register10_data_out => from_register10_data_out,
4270
      from_register11_data_out => from_register11_data_out,
4271
      from_register12_data_out(0) => from_register12_data_out,
4272
      from_register13_data_out => from_register13_data_out,
4273
      from_register14_data_out(0) => from_register14_data_out,
4274
      from_register15_data_out => from_register15_data_out,
4275
      from_register16_data_out(0) => from_register16_data_out,
4276
      from_register17_data_out => from_register17_data_out,
4277
      from_register18_data_out(0) => from_register18_data_out,
4278
      from_register19_data_out => from_register19_data_out,
4279
      from_register1_data_out(0) => from_register1_data_out,
4280
      from_register20_data_out(0) => from_register20_data_out,
4281
      from_register21_data_out => from_register21_data_out,
4282
      from_register22_data_out(0) => from_register22_data_out,
4283
      from_register23_data_out => from_register23_data_out,
4284
      from_register24_data_out(0) => from_register24_data_out,
4285
      from_register25_data_out => from_register25_data_out,
4286
      from_register26_data_out(0) => from_register26_data_out,
4287
      from_register27_data_out => from_register27_data_out,
4288
      from_register28_data_out(0) => from_register28_data_out,
4289
      from_register2_data_out(0) => from_register2_data_out,
4290
      from_register3_data_out => from_register3_data_out,
4291
      from_register4_data_out(0) => from_register4_data_out,
4292
      from_register5_data_out => from_register5_data_out,
4293
      from_register6_data_out(0) => from_register6_data_out,
4294
      from_register7_data_out => from_register7_data_out,
4295
      from_register8_data_out => from_register8_data_out,
4296
      from_register9_data_out(0) => from_register9_data_out,
4297
      reg01_td => x_x9,
4298
      reg01_tv => x_x10,
4299
      reg02_td => x_x13,
4300
      reg02_tv => x_x14,
4301
      reg03_td => x_x17,
4302
      reg03_tv => x_x18,
4303
      reg04_td => x_x21,
4304
      reg04_tv => x_x22,
4305
      reg05_td => x_x25,
4306
      reg05_tv => x_x26,
4307
      reg06_td => x_x29,
4308
      reg06_tv => x_x30,
4309
      reg07_td => x_x33,
4310
      reg07_tv => x_x34,
4311
      reg08_td => x_x37,
4312
      reg08_tv => x_x38,
4313
      reg09_td => x_x41,
4314
      reg09_tv => x_x42,
4315
      reg10_td => x_x45,
4316
      reg10_tv => x_x46,
4317
      reg11_td => x_x49,
4318
      reg11_tv => x_x50,
4319
      reg12_td => x_x53,
4320
      reg12_tv => x_x54,
4321
      reg13_td => x_x57,
4322
      reg13_tv => x_x58,
4323
      reg14_td => x_x61,
4324
      reg14_tv => x_x62,
4325
      to_register10_dout(0) => from_register10_data_out_x0,
4326
      to_register11_dout => from_register9_data_out_x0,
4327
      to_register12_dout(0) => from_register12_data_out_x0,
4328
      to_register13_dout => from_register11_data_out_x0,
4329
      to_register14_dout(0) => from_register14_data_out_x0,
4330
      to_register15_dout => from_register13_data_out_x0,
4331
      to_register16_dout(0) => from_register18_data_out_x0,
4332
      to_register17_dout => from_register17_data_out_x0,
4333
      to_register18_dout(0) => from_register16_data_out_x0,
4334
      to_register19_dout(0) => from_register15_data_out_x0,
4335
      to_register1_dout => from_register1_data_out_x0,
4336
      to_register20_dout => from_register19_data_out_x0,
4337
      to_register21_dout(0) => from_register23_data_out_x0,
4338
      to_register22_dout => from_register22_data_out_x0,
4339
      to_register23_dout(0) => from_register25_data_out_x0,
4340
      to_register24_dout => from_register24_data_out_x0,
4341
      to_register25_dout(0) => from_register21_data_out_x0,
4342
      to_register26_dout => from_register20_data_out_x0,
4343
      to_register27_dout(0) => from_register27_data_out_x0,
4344
      to_register28_dout => from_register26_data_out_x0,
4345
      to_register29_dout(0) => from_register29_data_out,
4346
      to_register2_dout => from_register2_data_out_x0,
4347
      to_register30_dout => from_register28_data_out_x0,
4348
      to_register31_dout(0) => from_register31_data_out,
4349
      to_register32_dout => from_register30_data_out,
4350
      to_register33_dout(0) => from_register33_data_out,
4351
      to_register34_dout => from_register32_data_out,
4352
      to_register3_dout(0) => from_register4_data_out_x0,
4353
      to_register4_dout(0) => from_register6_data_out_x0,
4354
      to_register5_dout => from_register5_data_out_x0,
4355
      to_register6_dout => from_register_data_out,
4356
      to_register7_dout => from_register3_data_out_x0,
4357
      to_register8_dout(0) => from_register8_data_out_x0,
4358
      to_register9_dout => from_register7_data_out_x0,
4359
      reg01_rd => x_x7,
4360
      reg01_rv => x_x8,
4361
      reg02_rd => x_x11,
4362
      reg02_rv => x_x12,
4363
      reg03_rd => x_x15,
4364
      reg03_rv => x_x16,
4365
      reg04_rd => x_x19,
4366
      reg04_rv => x_x20,
4367
      reg05_rd => x_x23,
4368
      reg05_rv => x_x24,
4369
      reg06_rd => x_x27,
4370
      reg06_rv => x_x28,
4371
      reg07_rd => x_x31,
4372
      reg07_rv => x_x32,
4373
      reg08_rd => x_x35,
4374
      reg08_rv => x_x36,
4375
      reg09_rd => x_x39,
4376
      reg09_rv => x_x40,
4377
      reg10_rd => x_x43,
4378
      reg10_rv => x_x44,
4379
      reg11_rd => x_x47,
4380
      reg11_rv => x_x48,
4381
      reg12_rd => x_x51,
4382
      reg12_rv => x_x52,
4383
      reg13_rd => x_x55,
4384
      reg13_rv => x_x56,
4385
      reg14_rd => x_x59,
4386
      reg14_rv => x_x60,
4387
      to_register10_ce => sysgen_dut_to_register10_ce,
4388
      to_register10_clk => sysgen_dut_to_register10_clk,
4389
      to_register10_clr => sysgen_dut_to_register10_clr,
4390
      to_register10_data_in(0) => sysgen_dut_to_register10_data_in,
4391
      to_register10_en(0) => sysgen_dut_to_register10_en,
4392
      to_register11_ce => sysgen_dut_to_register11_ce,
4393
      to_register11_clk => sysgen_dut_to_register11_clk,
4394
      to_register11_clr => sysgen_dut_to_register11_clr,
4395
      to_register11_data_in => sysgen_dut_to_register11_data_in,
4396
      to_register11_en(0) => sysgen_dut_to_register11_en,
4397
      to_register12_ce => sysgen_dut_to_register12_ce,
4398
      to_register12_clk => sysgen_dut_to_register12_clk,
4399
      to_register12_clr => sysgen_dut_to_register12_clr,
4400
      to_register12_data_in(0) => sysgen_dut_to_register12_data_in,
4401
      to_register12_en(0) => sysgen_dut_to_register12_en,
4402
      to_register13_ce => sysgen_dut_to_register13_ce,
4403
      to_register13_clk => sysgen_dut_to_register13_clk,
4404
      to_register13_clr => sysgen_dut_to_register13_clr,
4405
      to_register13_data_in => sysgen_dut_to_register13_data_in,
4406
      to_register13_en(0) => sysgen_dut_to_register13_en,
4407
      to_register14_ce => sysgen_dut_to_register14_ce,
4408
      to_register14_clk => sysgen_dut_to_register14_clk,
4409
      to_register14_clr => sysgen_dut_to_register14_clr,
4410
      to_register14_data_in(0) => sysgen_dut_to_register14_data_in,
4411
      to_register14_en(0) => sysgen_dut_to_register14_en,
4412
      to_register15_ce => sysgen_dut_to_register15_ce,
4413
      to_register15_clk => sysgen_dut_to_register15_clk,
4414
      to_register15_clr => sysgen_dut_to_register15_clr,
4415
      to_register15_data_in => sysgen_dut_to_register15_data_in,
4416
      to_register15_en(0) => sysgen_dut_to_register15_en,
4417
      to_register16_ce => sysgen_dut_to_register16_ce,
4418
      to_register16_clk => sysgen_dut_to_register16_clk,
4419
      to_register16_clr => sysgen_dut_to_register16_clr,
4420
      to_register16_data_in(0) => sysgen_dut_to_register16_data_in,
4421
      to_register16_en(0) => sysgen_dut_to_register16_en,
4422
      to_register17_ce => sysgen_dut_to_register17_ce,
4423
      to_register17_clk => sysgen_dut_to_register17_clk,
4424
      to_register17_clr => sysgen_dut_to_register17_clr,
4425
      to_register17_data_in => sysgen_dut_to_register17_data_in,
4426
      to_register17_en(0) => sysgen_dut_to_register17_en,
4427
      to_register18_ce => sysgen_dut_to_register18_ce,
4428
      to_register18_clk => sysgen_dut_to_register18_clk,
4429
      to_register18_clr => sysgen_dut_to_register18_clr,
4430
      to_register18_data_in(0) => sysgen_dut_to_register18_data_in,
4431
      to_register18_en(0) => sysgen_dut_to_register18_en,
4432
      to_register19_ce => sysgen_dut_to_register19_ce,
4433
      to_register19_clk => sysgen_dut_to_register19_clk,
4434
      to_register19_clr => sysgen_dut_to_register19_clr,
4435
      to_register19_data_in(0) => sysgen_dut_to_register19_data_in,
4436
      to_register19_en(0) => sysgen_dut_to_register19_en,
4437
      to_register1_ce => sysgen_dut_to_register1_ce,
4438
      to_register1_clk => sysgen_dut_to_register1_clk,
4439
      to_register1_clr => sysgen_dut_to_register1_clr,
4440
      to_register1_data_in => sysgen_dut_to_register1_data_in,
4441
      to_register1_en(0) => sysgen_dut_to_register1_en,
4442
      to_register20_ce => sysgen_dut_to_register20_ce,
4443
      to_register20_clk => sysgen_dut_to_register20_clk,
4444
      to_register20_clr => sysgen_dut_to_register20_clr,
4445
      to_register20_data_in => sysgen_dut_to_register20_data_in,
4446
      to_register20_en(0) => sysgen_dut_to_register20_en,
4447
      to_register21_ce => sysgen_dut_to_register21_ce,
4448
      to_register21_clk => sysgen_dut_to_register21_clk,
4449
      to_register21_clr => sysgen_dut_to_register21_clr,
4450
      to_register21_data_in(0) => sysgen_dut_to_register21_data_in,
4451
      to_register21_en(0) => sysgen_dut_to_register21_en,
4452
      to_register22_ce => sysgen_dut_to_register22_ce,
4453
      to_register22_clk => sysgen_dut_to_register22_clk,
4454
      to_register22_clr => sysgen_dut_to_register22_clr,
4455
      to_register22_data_in => sysgen_dut_to_register22_data_in,
4456
      to_register22_en(0) => sysgen_dut_to_register22_en,
4457
      to_register23_ce => sysgen_dut_to_register23_ce,
4458
      to_register23_clk => sysgen_dut_to_register23_clk,
4459
      to_register23_clr => sysgen_dut_to_register23_clr,
4460
      to_register23_data_in(0) => sysgen_dut_to_register23_data_in,
4461
      to_register23_en(0) => sysgen_dut_to_register23_en,
4462
      to_register24_ce => sysgen_dut_to_register24_ce,
4463
      to_register24_clk => sysgen_dut_to_register24_clk,
4464
      to_register24_clr => sysgen_dut_to_register24_clr,
4465
      to_register24_data_in => sysgen_dut_to_register24_data_in,
4466
      to_register24_en(0) => sysgen_dut_to_register24_en,
4467
      to_register25_ce => sysgen_dut_to_register25_ce,
4468
      to_register25_clk => sysgen_dut_to_register25_clk,
4469
      to_register25_clr => sysgen_dut_to_register25_clr,
4470
      to_register25_data_in(0) => sysgen_dut_to_register25_data_in,
4471
      to_register25_en(0) => sysgen_dut_to_register25_en,
4472
      to_register26_ce => sysgen_dut_to_register26_ce,
4473
      to_register26_clk => sysgen_dut_to_register26_clk,
4474
      to_register26_clr => sysgen_dut_to_register26_clr,
4475
      to_register26_data_in => sysgen_dut_to_register26_data_in,
4476
      to_register26_en(0) => sysgen_dut_to_register26_en,
4477
      to_register27_ce => sysgen_dut_to_register27_ce,
4478
      to_register27_clk => sysgen_dut_to_register27_clk,
4479
      to_register27_clr => sysgen_dut_to_register27_clr,
4480
      to_register27_data_in(0) => sysgen_dut_to_register27_data_in,
4481
      to_register27_en(0) => sysgen_dut_to_register27_en,
4482
      to_register28_ce => sysgen_dut_to_register28_ce,
4483
      to_register28_clk => sysgen_dut_to_register28_clk,
4484
      to_register28_clr => sysgen_dut_to_register28_clr,
4485
      to_register28_data_in => sysgen_dut_to_register28_data_in,
4486
      to_register28_en(0) => sysgen_dut_to_register28_en,
4487
      to_register29_ce => sysgen_dut_to_register29_ce,
4488
      to_register29_clk => sysgen_dut_to_register29_clk,
4489
      to_register29_clr => sysgen_dut_to_register29_clr,
4490
      to_register29_data_in(0) => sysgen_dut_to_register29_data_in,
4491
      to_register29_en(0) => sysgen_dut_to_register29_en,
4492
      to_register2_ce => sysgen_dut_to_register2_ce,
4493
      to_register2_clk => sysgen_dut_to_register2_clk,
4494
      to_register2_clr => sysgen_dut_to_register2_clr,
4495
      to_register2_data_in => sysgen_dut_to_register2_data_in,
4496
      to_register2_en(0) => sysgen_dut_to_register2_en,
4497
      to_register30_ce => sysgen_dut_to_register30_ce,
4498
      to_register30_clk => sysgen_dut_to_register30_clk,
4499
      to_register30_clr => sysgen_dut_to_register30_clr,
4500
      to_register30_data_in => sysgen_dut_to_register30_data_in,
4501
      to_register30_en(0) => sysgen_dut_to_register30_en,
4502
      to_register31_ce => sysgen_dut_to_register31_ce,
4503
      to_register31_clk => sysgen_dut_to_register31_clk,
4504
      to_register31_clr => sysgen_dut_to_register31_clr,
4505
      to_register31_data_in(0) => sysgen_dut_to_register31_data_in,
4506
      to_register31_en(0) => sysgen_dut_to_register31_en,
4507
      to_register32_ce => sysgen_dut_to_register32_ce,
4508
      to_register32_clk => sysgen_dut_to_register32_clk,
4509
      to_register32_clr => sysgen_dut_to_register32_clr,
4510
      to_register32_data_in => sysgen_dut_to_register32_data_in,
4511
      to_register32_en(0) => sysgen_dut_to_register32_en,
4512
      to_register33_ce => sysgen_dut_to_register33_ce,
4513
      to_register33_clk => sysgen_dut_to_register33_clk,
4514
      to_register33_clr => sysgen_dut_to_register33_clr,
4515
      to_register33_data_in(0) => sysgen_dut_to_register33_data_in,
4516
      to_register33_en(0) => sysgen_dut_to_register33_en,
4517
      to_register34_ce => sysgen_dut_to_register34_ce,
4518
      to_register34_clk => sysgen_dut_to_register34_clk,
4519
      to_register34_clr => sysgen_dut_to_register34_clr,
4520
      to_register34_data_in => sysgen_dut_to_register34_data_in,
4521
      to_register34_en(0) => sysgen_dut_to_register34_en,
4522
      to_register3_ce => sysgen_dut_to_register3_ce,
4523
      to_register3_clk => sysgen_dut_to_register3_clk,
4524
      to_register3_clr => sysgen_dut_to_register3_clr,
4525
      to_register3_data_in(0) => sysgen_dut_to_register3_data_in,
4526
      to_register3_en(0) => sysgen_dut_to_register3_en,
4527
      to_register4_ce => sysgen_dut_to_register4_ce,
4528
      to_register4_clk => sysgen_dut_to_register4_clk,
4529
      to_register4_clr => sysgen_dut_to_register4_clr,
4530
      to_register4_data_in(0) => sysgen_dut_to_register4_data_in,
4531
      to_register4_en(0) => sysgen_dut_to_register4_en,
4532
      to_register5_ce => sysgen_dut_to_register5_ce,
4533
      to_register5_clk => sysgen_dut_to_register5_clk,
4534
      to_register5_clr => sysgen_dut_to_register5_clr,
4535
      to_register5_data_in => sysgen_dut_to_register5_data_in,
4536
      to_register5_en(0) => sysgen_dut_to_register5_en,
4537
      to_register6_ce => sysgen_dut_to_register6_ce,
4538
      to_register6_clk => sysgen_dut_to_register6_clk,
4539
      to_register6_clr => sysgen_dut_to_register6_clr,
4540
      to_register6_data_in => sysgen_dut_to_register6_data_in,
4541
      to_register6_en(0) => sysgen_dut_to_register6_en,
4542
      to_register7_ce => sysgen_dut_to_register7_ce,
4543
      to_register7_clk => sysgen_dut_to_register7_clk,
4544
      to_register7_clr => sysgen_dut_to_register7_clr,
4545
      to_register7_data_in => sysgen_dut_to_register7_data_in,
4546
      to_register7_en(0) => sysgen_dut_to_register7_en,
4547
      to_register8_ce => sysgen_dut_to_register8_ce,
4548
      to_register8_clk => sysgen_dut_to_register8_clk,
4549
      to_register8_clr => sysgen_dut_to_register8_clr,
4550
      to_register8_data_in(0) => sysgen_dut_to_register8_data_in,
4551
      to_register8_en(0) => sysgen_dut_to_register8_en,
4552
      to_register9_ce => sysgen_dut_to_register9_ce,
4553
      to_register9_clk => sysgen_dut_to_register9_clk,
4554
      to_register9_clr => sysgen_dut_to_register9_clr,
4555
      to_register9_data_in => sysgen_dut_to_register9_data_in,
4556
      to_register9_en(0) => sysgen_dut_to_register9_en
4557
    );
4558
 
4559
  top_level_1: user_logic_cw
4560
    port map (
4561
      bram_rd_dout => x_x64,
4562
      ce => x_x68,
4563
      clk => x_x69,
4564
      fifo_rd_count => x_x70,
4565
      fifo_rd_dout => x_x71,
4566
      fifo_rd_empty => x_x72,
4567
      fifo_rd_pempty => x_x74,
4568
      fifo_rd_valid => x_x75,
4569
      fifo_wr_count => x_x76,
4570
      fifo_wr_full => x_x79,
4571
      fifo_wr_pfull => x_x80,
4572
      from_register10_data_out(0) => from_register10_data_out_x0,
4573
      from_register11_data_out => from_register11_data_out_x0,
4574
      from_register12_data_out(0) => from_register12_data_out_x0,
4575
      from_register13_data_out => from_register13_data_out_x0,
4576
      from_register14_data_out(0) => from_register14_data_out_x0,
4577
      from_register15_data_out(0) => from_register15_data_out_x0,
4578
      from_register16_data_out(0) => from_register16_data_out_x0,
4579
      from_register17_data_out => from_register17_data_out_x0,
4580
      from_register18_data_out(0) => from_register18_data_out_x0,
4581
      from_register19_data_out => from_register19_data_out_x0,
4582
      from_register1_data_out => from_register1_data_out_x0,
4583
      from_register20_data_out => from_register20_data_out_x0,
4584
      from_register21_data_out(0) => from_register21_data_out_x0,
4585
      from_register22_data_out => from_register22_data_out_x0,
4586
      from_register23_data_out(0) => from_register23_data_out_x0,
4587
      from_register24_data_out => from_register24_data_out_x0,
4588
      from_register25_data_out(0) => from_register25_data_out_x0,
4589
      from_register26_data_out => from_register26_data_out_x0,
4590
      from_register27_data_out(0) => from_register27_data_out_x0,
4591
      from_register28_data_out => from_register28_data_out_x0,
4592
      from_register29_data_out(0) => from_register29_data_out,
4593
      from_register2_data_out => from_register2_data_out_x0,
4594
      from_register30_data_out => from_register30_data_out,
4595
      from_register31_data_out(0) => from_register31_data_out,
4596
      from_register32_data_out => from_register32_data_out,
4597
      from_register33_data_out(0) => from_register33_data_out,
4598
      from_register3_data_out => from_register3_data_out_x0,
4599
      from_register4_data_out(0) => from_register4_data_out_x0,
4600
      from_register5_data_out => from_register5_data_out_x0,
4601
      from_register6_data_out(0) => from_register6_data_out_x0,
4602
      from_register7_data_out => from_register7_data_out_x0,
4603
      from_register8_data_out(0) => from_register8_data_out_x0,
4604
      from_register9_data_out => from_register9_data_out_x0,
4605
      from_register_data_out => from_register_data_out,
4606
      rst_i => x_x81,
4607
      to_register10_dout(0) => from_register9_data_out,
4608
      to_register11_dout(0) => from_register12_data_out,
4609
      to_register12_dout(0) => from_register14_data_out,
4610
      to_register13_dout => from_register13_data_out,
4611
      to_register14_dout(0) => from_register16_data_out,
4612
      to_register15_dout => from_register15_data_out,
4613
      to_register16_dout(0) => from_register18_data_out,
4614
      to_register17_dout => from_register17_data_out,
4615
      to_register18_dout(0) => from_register20_data_out,
4616
      to_register19_dout => from_register19_data_out,
4617
      to_register1_dout(0) => from_register1_data_out,
4618
      to_register20_dout(0) => from_register22_data_out,
4619
      to_register21_dout => from_register21_data_out,
4620
      to_register22_dout(0) => from_register24_data_out,
4621
      to_register23_dout => from_register23_data_out,
4622
      to_register24_dout(0) => from_register26_data_out,
4623
      to_register25_dout => from_register25_data_out,
4624
      to_register26_dout(0) => from_register28_data_out,
4625
      to_register27_dout => from_register27_data_out,
4626
      to_register2_dout => from_register5_data_out,
4627
      to_register3_dout => from_register7_data_out,
4628
      to_register4_dout(0) => from_register2_data_out,
4629
      to_register5_dout(0) => from_register6_data_out,
4630
      to_register6_dout => from_register8_data_out,
4631
      to_register7_dout(0) => from_register4_data_out,
4632
      to_register8_dout => from_register10_data_out,
4633
      to_register9_dout => from_register11_data_out,
4634
      to_register_dout => from_register3_data_out,
4635
      bram_rd_addr => x_x63,
4636
      bram_wr_addr => x_x65,
4637
      bram_wr_din => x_x66,
4638
      bram_wr_en => x_x67,
4639
      fifo_rd_en => x_x73,
4640
      fifo_wr_din => x_x77,
4641
      fifo_wr_en => x_x78,
4642
      rst_o => x_x82,
4643
      to_register10_ce => sysgen_dut_to_register10_ce_x0,
4644
      to_register10_clk => sysgen_dut_to_register10_clk_x0,
4645
      to_register10_clr => sysgen_dut_to_register10_clr_x0,
4646
      to_register10_data_in(0) => sysgen_dut_to_register10_data_in_x0,
4647
      to_register10_en(0) => sysgen_dut_to_register10_en_x0,
4648
      to_register11_ce => sysgen_dut_to_register11_ce_x0,
4649
      to_register11_clk => sysgen_dut_to_register11_clk_x0,
4650
      to_register11_clr => sysgen_dut_to_register11_clr_x0,
4651
      to_register11_data_in(0) => sysgen_dut_to_register11_data_in_x0,
4652
      to_register11_en(0) => sysgen_dut_to_register11_en_x0,
4653
      to_register12_ce => sysgen_dut_to_register12_ce_x0,
4654
      to_register12_clk => sysgen_dut_to_register12_clk_x0,
4655
      to_register12_clr => sysgen_dut_to_register12_clr_x0,
4656
      to_register12_data_in(0) => sysgen_dut_to_register12_data_in_x0,
4657
      to_register12_en(0) => sysgen_dut_to_register12_en_x0,
4658
      to_register13_ce => sysgen_dut_to_register13_ce_x0,
4659
      to_register13_clk => sysgen_dut_to_register13_clk_x0,
4660
      to_register13_clr => sysgen_dut_to_register13_clr_x0,
4661
      to_register13_data_in => sysgen_dut_to_register13_data_in_x0,
4662
      to_register13_en(0) => sysgen_dut_to_register13_en_x0,
4663
      to_register14_ce => sysgen_dut_to_register14_ce_x0,
4664
      to_register14_clk => sysgen_dut_to_register14_clk_x0,
4665
      to_register14_clr => sysgen_dut_to_register14_clr_x0,
4666
      to_register14_data_in(0) => sysgen_dut_to_register14_data_in_x0,
4667
      to_register14_en(0) => sysgen_dut_to_register14_en_x0,
4668
      to_register15_ce => sysgen_dut_to_register15_ce_x0,
4669
      to_register15_clk => sysgen_dut_to_register15_clk_x0,
4670
      to_register15_clr => sysgen_dut_to_register15_clr_x0,
4671
      to_register15_data_in => sysgen_dut_to_register15_data_in_x0,
4672
      to_register15_en(0) => sysgen_dut_to_register15_en_x0,
4673
      to_register16_ce => sysgen_dut_to_register16_ce_x0,
4674
      to_register16_clk => sysgen_dut_to_register16_clk_x0,
4675
      to_register16_clr => sysgen_dut_to_register16_clr_x0,
4676
      to_register16_data_in(0) => sysgen_dut_to_register16_data_in_x0,
4677
      to_register16_en(0) => sysgen_dut_to_register16_en_x0,
4678
      to_register17_ce => sysgen_dut_to_register17_ce_x0,
4679
      to_register17_clk => sysgen_dut_to_register17_clk_x0,
4680
      to_register17_clr => sysgen_dut_to_register17_clr_x0,
4681
      to_register17_data_in => sysgen_dut_to_register17_data_in_x0,
4682
      to_register17_en(0) => sysgen_dut_to_register17_en_x0,
4683
      to_register18_ce => sysgen_dut_to_register18_ce_x0,
4684
      to_register18_clk => sysgen_dut_to_register18_clk_x0,
4685
      to_register18_clr => sysgen_dut_to_register18_clr_x0,
4686
      to_register18_data_in(0) => sysgen_dut_to_register18_data_in_x0,
4687
      to_register18_en(0) => sysgen_dut_to_register18_en_x0,
4688
      to_register19_ce => sysgen_dut_to_register19_ce_x0,
4689
      to_register19_clk => sysgen_dut_to_register19_clk_x0,
4690
      to_register19_clr => sysgen_dut_to_register19_clr_x0,
4691
      to_register19_data_in => sysgen_dut_to_register19_data_in_x0,
4692
      to_register19_en(0) => sysgen_dut_to_register19_en_x0,
4693
      to_register1_ce => sysgen_dut_to_register1_ce_x0,
4694
      to_register1_clk => sysgen_dut_to_register1_clk_x0,
4695
      to_register1_clr => sysgen_dut_to_register1_clr_x0,
4696
      to_register1_data_in(0) => sysgen_dut_to_register1_data_in_x0,
4697
      to_register1_en(0) => sysgen_dut_to_register1_en_x0,
4698
      to_register20_ce => sysgen_dut_to_register20_ce_x0,
4699
      to_register20_clk => sysgen_dut_to_register20_clk_x0,
4700
      to_register20_clr => sysgen_dut_to_register20_clr_x0,
4701
      to_register20_data_in(0) => sysgen_dut_to_register20_data_in_x0,
4702
      to_register20_en(0) => sysgen_dut_to_register20_en_x0,
4703
      to_register21_ce => sysgen_dut_to_register21_ce_x0,
4704
      to_register21_clk => sysgen_dut_to_register21_clk_x0,
4705
      to_register21_clr => sysgen_dut_to_register21_clr_x0,
4706
      to_register21_data_in => sysgen_dut_to_register21_data_in_x0,
4707
      to_register21_en(0) => sysgen_dut_to_register21_en_x0,
4708
      to_register22_ce => sysgen_dut_to_register22_ce_x0,
4709
      to_register22_clk => sysgen_dut_to_register22_clk_x0,
4710
      to_register22_clr => sysgen_dut_to_register22_clr_x0,
4711
      to_register22_data_in(0) => sysgen_dut_to_register22_data_in_x0,
4712
      to_register22_en(0) => sysgen_dut_to_register22_en_x0,
4713
      to_register23_ce => sysgen_dut_to_register23_ce_x0,
4714
      to_register23_clk => sysgen_dut_to_register23_clk_x0,
4715
      to_register23_clr => sysgen_dut_to_register23_clr_x0,
4716
      to_register23_data_in => sysgen_dut_to_register23_data_in_x0,
4717
      to_register23_en(0) => sysgen_dut_to_register23_en_x0,
4718
      to_register24_ce => sysgen_dut_to_register24_ce_x0,
4719
      to_register24_clk => sysgen_dut_to_register24_clk_x0,
4720
      to_register24_clr => sysgen_dut_to_register24_clr_x0,
4721
      to_register24_data_in(0) => sysgen_dut_to_register24_data_in_x0,
4722
      to_register24_en(0) => sysgen_dut_to_register24_en_x0,
4723
      to_register25_ce => sysgen_dut_to_register25_ce_x0,
4724
      to_register25_clk => sysgen_dut_to_register25_clk_x0,
4725
      to_register25_clr => sysgen_dut_to_register25_clr_x0,
4726
      to_register25_data_in => sysgen_dut_to_register25_data_in_x0,
4727
      to_register25_en(0) => sysgen_dut_to_register25_en_x0,
4728
      to_register26_ce => sysgen_dut_to_register26_ce_x0,
4729
      to_register26_clk => sysgen_dut_to_register26_clk_x0,
4730
      to_register26_clr => sysgen_dut_to_register26_clr_x0,
4731
      to_register26_data_in(0) => sysgen_dut_to_register26_data_in_x0,
4732
      to_register26_en(0) => sysgen_dut_to_register26_en_x0,
4733
      to_register27_ce => sysgen_dut_to_register27_ce_x0,
4734
      to_register27_clk => sysgen_dut_to_register27_clk_x0,
4735
      to_register27_clr => sysgen_dut_to_register27_clr_x0,
4736
      to_register27_data_in => sysgen_dut_to_register27_data_in_x0,
4737
      to_register27_en(0) => sysgen_dut_to_register27_en_x0,
4738
      to_register2_ce => sysgen_dut_to_register2_ce_x0,
4739
      to_register2_clk => sysgen_dut_to_register2_clk_x0,
4740
      to_register2_clr => sysgen_dut_to_register2_clr_x0,
4741
      to_register2_data_in => sysgen_dut_to_register2_data_in_x0,
4742
      to_register2_en(0) => sysgen_dut_to_register2_en_x0,
4743
      to_register3_ce => sysgen_dut_to_register3_ce_x0,
4744
      to_register3_clk => sysgen_dut_to_register3_clk_x0,
4745
      to_register3_clr => sysgen_dut_to_register3_clr_x0,
4746
      to_register3_data_in => sysgen_dut_to_register3_data_in_x0,
4747
      to_register3_en(0) => sysgen_dut_to_register3_en_x0,
4748
      to_register4_ce => sysgen_dut_to_register4_ce_x0,
4749
      to_register4_clk => sysgen_dut_to_register4_clk_x0,
4750
      to_register4_clr => sysgen_dut_to_register4_clr_x0,
4751
      to_register4_data_in(0) => sysgen_dut_to_register4_data_in_x0,
4752
      to_register4_en(0) => sysgen_dut_to_register4_en_x0,
4753
      to_register5_ce => sysgen_dut_to_register5_ce_x0,
4754
      to_register5_clk => sysgen_dut_to_register5_clk_x0,
4755
      to_register5_clr => sysgen_dut_to_register5_clr_x0,
4756
      to_register5_data_in(0) => sysgen_dut_to_register5_data_in_x0,
4757
      to_register5_en(0) => sysgen_dut_to_register5_en_x0,
4758
      to_register6_ce => sysgen_dut_to_register6_ce_x0,
4759
      to_register6_clk => sysgen_dut_to_register6_clk_x0,
4760
      to_register6_clr => sysgen_dut_to_register6_clr_x0,
4761
      to_register6_data_in => sysgen_dut_to_register6_data_in_x0,
4762
      to_register6_en(0) => sysgen_dut_to_register6_en_x0,
4763
      to_register7_ce => sysgen_dut_to_register7_ce_x0,
4764
      to_register7_clk => sysgen_dut_to_register7_clk_x0,
4765
      to_register7_clr => sysgen_dut_to_register7_clr_x0,
4766
      to_register7_data_in(0) => sysgen_dut_to_register7_data_in_x0,
4767
      to_register7_en(0) => sysgen_dut_to_register7_en_x0,
4768
      to_register8_ce => sysgen_dut_to_register8_ce_x0,
4769
      to_register8_clk => sysgen_dut_to_register8_clk_x0,
4770
      to_register8_clr => sysgen_dut_to_register8_clr_x0,
4771
      to_register8_data_in => sysgen_dut_to_register8_data_in_x0,
4772
      to_register8_en(0) => sysgen_dut_to_register8_en_x0,
4773
      to_register9_ce => sysgen_dut_to_register9_ce_x0,
4774
      to_register9_clk => sysgen_dut_to_register9_clk_x0,
4775
      to_register9_clr => sysgen_dut_to_register9_clr_x0,
4776
      to_register9_data_in => sysgen_dut_to_register9_data_in_x0,
4777
      to_register9_en(0) => sysgen_dut_to_register9_en_x0,
4778
      to_register_ce => sysgen_dut_to_register_ce,
4779
      to_register_clk => sysgen_dut_to_register_clk,
4780
      to_register_clr => sysgen_dut_to_register_clr,
4781
      to_register_data_in => sysgen_dut_to_register_data_in,
4782
      to_register_en(0) => sysgen_dut_to_register_en,
4783
      user_int_1o => x_x83,
4784
      user_int_2o => x_x84,
4785
      user_int_3o => x_x85
4786
    );
4787
 
4788
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.