OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [MyUserLogic/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [synopsis.1] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0.0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5.0,
14
    'base_system_period_simulink' => 8.0E-9,
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0.0,
19
    'clock_loc' => '',
20
    'clock_wrapper' => 'Clock Enables',
21
    'clock_wrapper_sgadvanced' => '',
22
    'compilation' => 'NGC Netlist',
23
    'compilation_lut' => {
24
      'keys' => [
25
        'HDL Netlist',
26
        'Bitstream',
27
        'NGC Netlist',
28
      ],
29
      'values' => [
30
        'target1',
31
        'target2',
32
        'target3',
33
      ],
34
    },
35
    'compilation_target' => 'NGC Netlist',
36
    'core_generation' => 1.0,
37
    'core_generation_sgadvanced' => '',
38
    'core_is_deployed' => 0.0,
39
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c00613f6911dfdaa6',
40
    'coregen_part_family' => 'virtex6',
41
    'createTestbench' => 0,
42
    'create_interface_document' => 'off',
43
    'dbl_ovrd' => -1.0,
44
    'dbl_ovrd_sgadvanced' => '',
45
    'dcm_info' => {},
46
    'dcm_input_clock_period' => 5.0,
47
    'deprecated_control' => 'off',
48
    'deprecated_control_sgadvanced' => '',
49
    'design' => 'inout_logic',
50
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\pcie-v6-ml605_ISE12_OpenCores\\MySysGen\\PCIe_UserLogic_00.mdl',
51
    'device' => 'xc6vlx240t-3ff784',
52
    'device_speed' => '-3',
53
    'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
54
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
55
    'entityNamingInstrs' => {
56
      'nameMap' => undef,
57
      'namesAlreadyUsed' => undef,
58
    },
59
    'eval_field' => '0',
60
    'fileAttributes' => {
61
      'nonleaf_results.vhd' => { 'producer' => 'nonleafNetlister', },
62
    },
63
    'files' => [
64
      'xlpersistentdff.ngc',
65
      'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen/perl_results.vhd',
66
      'nonleaf_results.vhd',
67
      'synopsis',
68
    ],
69
    'fxdptinstalled' => 1.0,
70
    'generateUsing71FrontEnd' => 1,
71
    'generating_island_subsystem_handle' => 4.0009765625,
72
    'generating_subsystem_handle' => 4.0009765625,
73
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
74
    'has_advanced_control' => '0',
75
    'hdlDir' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen/hdl',
76
    'hdlKind' => 'vhdl',
77
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
78
    'impl_file' => 'ISE Defaults*',
79
    'incr_netlist' => 'off',
80
    'incr_netlist_sgadvanced' => '',
81
    'infoedit' => ' System Generator',
82
    'isCombinatorial' => 1,
83
    'isdeployed' => 0,
84
    'ise_version' => '12.3i',
85
    'master_sysgen_token_handle' => 5.0009765625,
86
    'matlab' => 'C:/Programmi/MATLAB/R2010a',
87
    'matlab_fixedpoint' => 1.0,
88
    'mdlHandle' => 3.0009765625,
89
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
90
    'modelDiagnostics' => [
91
      {
92
        'count' => 339.0,
93
        'isMask' => 0.0,
94
        'type' => 'PCIe_UserLogic_00 Total blocks',
95
      },
96
      {
97
        'count' => 4.0,
98
        'isMask' => 0.0,
99
        'type' => 'DiscretePulseGenerator',
100
      },
101
      {
102
        'count' => 327.0,
103
        'isMask' => 0.0,
104
        'type' => 'S-Function',
105
      },
106
      {
107
        'count' => 4.0,
108
        'isMask' => 0.0,
109
        'type' => 'SubSystem',
110
      },
111
      {
112
        'count' => 4.0,
113
        'isMask' => 0.0,
114
        'type' => 'Terminator',
115
      },
116
      {
117
        'count' => 23.0,
118
        'isMask' => 1.0,
119
        'type' => 'Xilinx Constant Block Block',
120
      },
121
      {
122
        'count' => 1.0,
123
        'isMask' => 1.0,
124
        'type' => 'Xilinx Counter Block',
125
      },
126
      {
127
        'count' => 44.0,
128
        'isMask' => 1.0,
129
        'type' => 'Xilinx Gateway In Block',
130
      },
131
      {
132
        'count' => 39.0,
133
        'isMask' => 1.0,
134
        'type' => 'Xilinx Gateway Out Block',
135
      },
136
      {
137
        'count' => 2.0,
138
        'isMask' => 1.0,
139
        'type' => 'Xilinx Inverter Block',
140
      },
141
      {
142
        'count' => 1.0,
143
        'isMask' => 1.0,
144
        'type' => 'Xilinx Logical Block Block',
145
      },
146
      {
147
        'count' => 78.0,
148
        'isMask' => 1.0,
149
        'type' => 'Xilinx Register Block',
150
      },
151
      {
152
        'count' => 62.0,
153
        'isMask' => 1.0,
154
        'type' => 'Xilinx Shared Memory Based From Register Block',
155
      },
156
      {
157
        'count' => 62.0,
158
        'isMask' => 1.0,
159
        'type' => 'Xilinx Shared Memory Based To Register Block',
160
      },
161
      {
162
        'count' => 1.0,
163
        'isMask' => 1.0,
164
        'type' => 'Xilinx Subsystem Generator Block',
165
      },
166
      {
167
        'count' => 2.0,
168
        'isMask' => 1.0,
169
        'type' => 'Xilinx System Generator Block',
170
      },
171
      {
172
        'count' => 14.0,
173
        'isMask' => 1.0,
174
        'type' => 'Xilinx Type Converter Block',
175
      },
176
    ],
177
    'model_globals_initialized' => 1.0,
178
    'model_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
179
    'myxilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
180
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
181
    'ngc_config' => {
182
      'include_cf' => 1,
183
      'include_clockwrapper' => 1.0,
184
    },
185
    'ngc_files' => [ 'xlpersistentdff.ngc', ],
186
    'num_sim_cycles' => '1250000000',
187
    'package' => 'ff784',
188
    'part' => 'xc6vlx240t',
189
    'partFamily' => 'virtex6',
190
    'port_data_types_enabled' => 1.0,
191
    'postgeneration_fcn' => 'xlNGCPostGeneration',
192
    'preserve_hierarchy' => 0.0,
193
    'proj_type' => 'Project Navigator',
194
    'proj_type_sgadvanced' => '',
195
    'run_coregen' => 'off',
196
    'run_coregen_sgadvanced' => '',
197
    'sample_time_colors_enabled' => 1.0,
198
    'sampletimecolors' => 1.0,
199
    'settings_fcn' => 'xlngcsettings',
200
    'sg_blockgui_xml' => '',
201
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
202
    'sg_list_contents' => '',
203
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
204
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
205
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
206
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
207
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
208
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
209
fprintf(\'\',\'COMMENT: end icon graphics\');
210
fprintf(\'\',\'COMMENT: begin icon text\');
211
fprintf(\'\',\'COMMENT: end icon text\');',
212
    'sg_version' => '',
213
    'sggui_pos' => '-1,-1,-1,-1',
214
    'simulation_island_subsystem_handle' => 4.0009765625,
215
    'simulinkName' => 'parking_lot',
216
    'simulink_accelerator_running' => 0.0,
217
    'simulink_debugger_running' => 0.0,
218
    'simulink_period' => 8.0E-9,
219
    'speed' => '-3',
220
    'synth_file' => 'XST Defaults*',
221
    'synthesisTool' => 'XST',
222
    'synthesis_language' => 'vhdl',
223
    'synthesis_tool' => 'XST',
224
    'synthesis_tool_sgadvanced' => '',
225
    'sysclk_period' => 5.0,
226
    'sysgen' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
227
    'sysgenRoot' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
228
    'sysgenTokenSettings' => {
229
      'Impl_file' => 'ISE Defaults',
230
      'Impl_file_sgadvanced' => '',
231
      'Synth_file' => 'XST Defaults',
232
      'Synth_file_sgadvanced' => '',
233
      'base_system_period_hardware' => 5.0,
234
      'base_system_period_simulink' => 8.0E-9,
235
      'block_icon_display' => 'Default',
236
      'block_type' => 'sysgen',
237
      'block_version' => '',
238
      'ce_clr' => 0.0,
239
      'clock_loc' => '',
240
      'clock_wrapper' => 'Clock Enables',
241
      'clock_wrapper_sgadvanced' => '',
242
      'compilation' => 'NGC Netlist',
243
      'compilation_lut' => {
244
        'keys' => [
245
          'HDL Netlist',
246
          'Bitstream',
247
          'NGC Netlist',
248
        ],
249
        'values' => [
250
          'target1',
251
          'target2',
252
          'target3',
253
        ],
254
      },
255
      'core_generation' => 1.0,
256
      'core_generation_sgadvanced' => '',
257
      'coregen_part_family' => 'virtex6',
258
      'create_interface_document' => 'off',
259
      'dbl_ovrd' => -1.0,
260
      'dbl_ovrd_sgadvanced' => '',
261
      'dcm_input_clock_period' => 5.0,
262
      'deprecated_control' => 'off',
263
      'deprecated_control_sgadvanced' => '',
264
      'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
265
      'eval_field' => '0',
266
      'has_advanced_control' => '0',
267
      'impl_file' => 'ISE Defaults*',
268
      'incr_netlist' => 'off',
269
      'incr_netlist_sgadvanced' => '',
270
      'infoedit' => ' System Generator',
271
      'master_sysgen_token_handle' => 5.0009765625,
272
      'ngc_config' => {
273
        'include_cf' => 1,
274
        'include_clockwrapper' => 1.0,
275
      },
276
      'package' => 'ff784',
277
      'part' => 'xc6vlx240t',
278
      'postgeneration_fcn' => 'xlNGCPostGeneration',
279
      'preserve_hierarchy' => 0.0,
280
      'proj_type' => 'Project Navigator',
281
      'proj_type_sgadvanced' => '',
282
      'run_coregen' => 'off',
283
      'run_coregen_sgadvanced' => '',
284
      'settings_fcn' => 'xlngcsettings',
285
      'sg_blockgui_xml' => '',
286
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
287
      'sg_list_contents' => '',
288
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
289
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
290
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
291
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
292
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
293
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
294
fprintf(\'\',\'COMMENT: end icon graphics\');
295
fprintf(\'\',\'COMMENT: begin icon text\');
296
fprintf(\'\',\'COMMENT: end icon text\');',
297
      'sggui_pos' => '-1,-1,-1,-1',
298
      'simulation_island_subsystem_handle' => 4.0009765625,
299
      'simulink_period' => 8.0E-9,
300
      'speed' => '-3',
301
      'synth_file' => 'XST Defaults*',
302
      'synthesis_language' => 'vhdl',
303
      'synthesis_tool' => 'XST',
304
      'synthesis_tool_sgadvanced' => '',
305
      'sysclk_period' => 5.0,
306
      'testbench' => 0,
307
      'testbench_sgadvanced' => '',
308
      'trim_vbits' => 1.0,
309
      'trim_vbits_sgadvanced' => '',
310
      'xilinx_device' => 'xc6vlx240t-3ff784',
311
      'xilinxfamily' => 'virtex6',
312
    },
313
    'sysgen_Root' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
314
    'systemClockPeriod' => 5.0,
315
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
316
    'testbench' => 0,
317
    'testbench_sgadvanced' => '',
318
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen',
319
    'trim_vbits' => 1.0,
320
    'trim_vbits_sgadvanced' => '',
321
    'use_ce_syn_keep' => 1,
322
    'use_strict_names' => 1,
323
    'user_tips_enabled' => 0.0,
324
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
325
    'using71Netlister' => 1,
326
    'verilog_files' => [
327
      'conv_pkg.v',
328
      'synth_reg.v',
329
      'synth_reg_w_init.v',
330
      'convert_type.v',
331
    ],
332
    'version' => '',
333
    'vhdl_files' => [
334
      'conv_pkg.vhd',
335
      'synth_reg.vhd',
336
      'synth_reg_w_init.vhd',
337
    ],
338
    'vsimtime' => '6875000275.000000 ns',
339
    'xilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
340
    'xilinx_device' => 'xc6vlx240t-3ff784',
341
    'xilinx_family' => 'virtex6',
342
    'xilinx_package' => 'ff784',
343
    'xilinx_part' => 'xc6vlx240t',
344
    'xilinxdevice' => 'xc6vlx240t-3ff784',
345
    'xilinxfamily' => 'virtex6',
346
    'xilinxpart' => 'xc6vlx240t',
347
  },
348
  'entityName' => '',
349
  'nets' => {
350
    'ce_1_sg' => {
351
      'hdlType' => 'std_logic',
352
      'width' => 1,
353
    },
354
    'clk_1_sg' => {
355
      'hdlType' => 'std_logic',
356
      'width' => 1,
357
    },
358
    'constant1_op_net_x0' => {
359
      'hdlType' => 'std_logic',
360
      'width' => 1,
361
    },
362
    'constant1_op_net_x1' => {
363
      'hdlType' => 'std_logic',
364
      'width' => 1,
365
    },
366
    'constant1_op_net_x10' => {
367
      'hdlType' => 'std_logic',
368
      'width' => 1,
369
    },
370
    'constant1_op_net_x11' => {
371
      'hdlType' => 'std_logic',
372
      'width' => 1,
373
    },
374
    'constant1_op_net_x12' => {
375
      'hdlType' => 'std_logic',
376
      'width' => 1,
377
    },
378
    'constant1_op_net_x13' => {
379
      'hdlType' => 'std_logic',
380
      'width' => 1,
381
    },
382
    'constant1_op_net_x2' => {
383
      'hdlType' => 'std_logic',
384
      'width' => 1,
385
    },
386
    'constant1_op_net_x3' => {
387
      'hdlType' => 'std_logic',
388
      'width' => 1,
389
    },
390
    'constant1_op_net_x4' => {
391
      'hdlType' => 'std_logic',
392
      'width' => 1,
393
    },
394
    'constant1_op_net_x5' => {
395
      'hdlType' => 'std_logic',
396
      'width' => 1,
397
    },
398
    'constant1_op_net_x6' => {
399
      'hdlType' => 'std_logic',
400
      'width' => 1,
401
    },
402
    'constant1_op_net_x7' => {
403
      'hdlType' => 'std_logic',
404
      'width' => 1,
405
    },
406
    'constant1_op_net_x8' => {
407
      'hdlType' => 'std_logic',
408
      'width' => 1,
409
    },
410
    'constant1_op_net_x9' => {
411
      'hdlType' => 'std_logic',
412
      'width' => 1,
413
    },
414
    'constant5_op_net_x0' => {
415
      'hdlType' => 'std_logic',
416
      'width' => 1,
417
    },
418
    'constant5_op_net_x1' => {
419
      'hdlType' => 'std_logic',
420
      'width' => 1,
421
    },
422
    'constant5_op_net_x10' => {
423
      'hdlType' => 'std_logic',
424
      'width' => 1,
425
    },
426
    'constant5_op_net_x11' => {
427
      'hdlType' => 'std_logic',
428
      'width' => 1,
429
    },
430
    'constant5_op_net_x12' => {
431
      'hdlType' => 'std_logic',
432
      'width' => 1,
433
    },
434
    'constant5_op_net_x13' => {
435
      'hdlType' => 'std_logic',
436
      'width' => 1,
437
    },
438
    'constant5_op_net_x14' => {
439
      'hdlType' => 'std_logic',
440
      'width' => 1,
441
    },
442
    'constant5_op_net_x15' => {
443
      'hdlType' => 'std_logic',
444
      'width' => 1,
445
    },
446
    'constant5_op_net_x16' => {
447
      'hdlType' => 'std_logic',
448
      'width' => 1,
449
    },
450
    'constant5_op_net_x17' => {
451
      'hdlType' => 'std_logic',
452
      'width' => 1,
453
    },
454
    'constant5_op_net_x18' => {
455
      'hdlType' => 'std_logic',
456
      'width' => 1,
457
    },
458
    'constant5_op_net_x19' => {
459
      'hdlType' => 'std_logic',
460
      'width' => 1,
461
    },
462
    'constant5_op_net_x2' => {
463
      'hdlType' => 'std_logic',
464
      'width' => 1,
465
    },
466
    'constant5_op_net_x3' => {
467
      'hdlType' => 'std_logic',
468
      'width' => 1,
469
    },
470
    'constant5_op_net_x4' => {
471
      'hdlType' => 'std_logic',
472
      'width' => 1,
473
    },
474
    'constant5_op_net_x5' => {
475
      'hdlType' => 'std_logic',
476
      'width' => 1,
477
    },
478
    'constant5_op_net_x6' => {
479
      'hdlType' => 'std_logic',
480
      'width' => 1,
481
    },
482
    'constant5_op_net_x7' => {
483
      'hdlType' => 'std_logic',
484
      'width' => 1,
485
    },
486
    'constant5_op_net_x8' => {
487
      'hdlType' => 'std_logic',
488
      'width' => 1,
489
    },
490
    'constant5_op_net_x9' => {
491
      'hdlType' => 'std_logic',
492
      'width' => 1,
493
    },
494
    'debug_in_1i_net' => {
495
      'hdlType' => 'std_logic_vector(31 downto 0)',
496
      'width' => 32,
497
    },
498
    'debug_in_1i_net_x0' => {
499
      'hdlType' => 'std_logic_vector(31 downto 0)',
500
      'width' => 32,
501
    },
502
    'debug_in_2i_net' => {
503
      'hdlType' => 'std_logic_vector(31 downto 0)',
504
      'width' => 32,
505
    },
506
    'debug_in_2i_net_x0' => {
507
      'hdlType' => 'std_logic_vector(31 downto 0)',
508
      'width' => 32,
509
    },
510
    'debug_in_3i_net' => {
511
      'hdlType' => 'std_logic_vector(31 downto 0)',
512
      'width' => 32,
513
    },
514
    'debug_in_3i_net_x0' => {
515
      'hdlType' => 'std_logic_vector(31 downto 0)',
516
      'width' => 32,
517
    },
518
    'debug_in_4i_net' => {
519
      'hdlType' => 'std_logic_vector(31 downto 0)',
520
      'width' => 32,
521
    },
522
    'debug_in_4i_net_x0' => {
523
      'hdlType' => 'std_logic_vector(31 downto 0)',
524
      'width' => 32,
525
    },
526
    'dma_host2board_busy_net' => {
527
      'hdlType' => 'std_logic',
528
      'width' => 1,
529
    },
530
    'dma_host2board_busy_net_x0' => {
531
      'hdlType' => 'std_logic',
532
      'width' => 1,
533
    },
534
    'dma_host2board_done_net' => {
535
      'hdlType' => 'std_logic',
536
      'width' => 1,
537
    },
538
    'dma_host2board_done_net_x0' => {
539
      'hdlType' => 'std_logic',
540
      'width' => 1,
541
    },
542
    'from_register10_data_out_net' => {
543
      'hdlType' => 'std_logic_vector(31 downto 0)',
544
      'width' => 32,
545
    },
546
    'from_register10_data_out_net_x0' => {
547
      'hdlType' => 'std_logic_vector(31 downto 0)',
548
      'width' => 32,
549
    },
550
    'from_register11_data_out_net' => {
551
      'hdlType' => 'std_logic_vector(31 downto 0)',
552
      'width' => 32,
553
    },
554
    'from_register11_data_out_net_x0' => {
555
      'hdlType' => 'std_logic_vector(31 downto 0)',
556
      'width' => 32,
557
    },
558
    'from_register12_data_out_net' => {
559
      'hdlType' => 'std_logic',
560
      'width' => 1,
561
    },
562
    'from_register12_data_out_net_x0' => {
563
      'hdlType' => 'std_logic',
564
      'width' => 1,
565
    },
566
    'from_register13_data_out_net' => {
567
      'hdlType' => 'std_logic_vector(31 downto 0)',
568
      'width' => 32,
569
    },
570
    'from_register13_data_out_net_x0' => {
571
      'hdlType' => 'std_logic_vector(31 downto 0)',
572
      'width' => 32,
573
    },
574
    'from_register14_data_out_net' => {
575
      'hdlType' => 'std_logic',
576
      'width' => 1,
577
    },
578
    'from_register14_data_out_net_x0' => {
579
      'hdlType' => 'std_logic',
580
      'width' => 1,
581
    },
582
    'from_register15_data_out_net' => {
583
      'hdlType' => 'std_logic_vector(31 downto 0)',
584
      'width' => 32,
585
    },
586
    'from_register15_data_out_net_x0' => {
587
      'hdlType' => 'std_logic_vector(31 downto 0)',
588
      'width' => 32,
589
    },
590
    'from_register16_data_out_net' => {
591
      'hdlType' => 'std_logic',
592
      'width' => 1,
593
    },
594
    'from_register16_data_out_net_x0' => {
595
      'hdlType' => 'std_logic',
596
      'width' => 1,
597
    },
598
    'from_register17_data_out_net' => {
599
      'hdlType' => 'std_logic_vector(31 downto 0)',
600
      'width' => 32,
601
    },
602
    'from_register17_data_out_net_x0' => {
603
      'hdlType' => 'std_logic_vector(31 downto 0)',
604
      'width' => 32,
605
    },
606
    'from_register18_data_out_net' => {
607
      'hdlType' => 'std_logic',
608
      'width' => 1,
609
    },
610
    'from_register18_data_out_net_x0' => {
611
      'hdlType' => 'std_logic',
612
      'width' => 1,
613
    },
614
    'from_register19_data_out_net' => {
615
      'hdlType' => 'std_logic_vector(31 downto 0)',
616
      'width' => 32,
617
    },
618
    'from_register19_data_out_net_x0' => {
619
      'hdlType' => 'std_logic_vector(31 downto 0)',
620
      'width' => 32,
621
    },
622
    'from_register1_data_out_net' => {
623
      'hdlType' => 'std_logic',
624
      'width' => 1,
625
    },
626
    'from_register1_data_out_net_x0' => {
627
      'hdlType' => 'std_logic',
628
      'width' => 1,
629
    },
630
    'from_register20_data_out_net' => {
631
      'hdlType' => 'std_logic',
632
      'width' => 1,
633
    },
634
    'from_register20_data_out_net_x0' => {
635
      'hdlType' => 'std_logic',
636
      'width' => 1,
637
    },
638
    'from_register21_data_out_net' => {
639
      'hdlType' => 'std_logic_vector(31 downto 0)',
640
      'width' => 32,
641
    },
642
    'from_register21_data_out_net_x0' => {
643
      'hdlType' => 'std_logic_vector(31 downto 0)',
644
      'width' => 32,
645
    },
646
    'from_register22_data_out_net' => {
647
      'hdlType' => 'std_logic',
648
      'width' => 1,
649
    },
650
    'from_register22_data_out_net_x0' => {
651
      'hdlType' => 'std_logic',
652
      'width' => 1,
653
    },
654
    'from_register23_data_out_net' => {
655
      'hdlType' => 'std_logic_vector(31 downto 0)',
656
      'width' => 32,
657
    },
658
    'from_register23_data_out_net_x0' => {
659
      'hdlType' => 'std_logic_vector(31 downto 0)',
660
      'width' => 32,
661
    },
662
    'from_register24_data_out_net' => {
663
      'hdlType' => 'std_logic',
664
      'width' => 1,
665
    },
666
    'from_register24_data_out_net_x0' => {
667
      'hdlType' => 'std_logic',
668
      'width' => 1,
669
    },
670
    'from_register25_data_out_net' => {
671
      'hdlType' => 'std_logic_vector(31 downto 0)',
672
      'width' => 32,
673
    },
674
    'from_register25_data_out_net_x0' => {
675
      'hdlType' => 'std_logic_vector(31 downto 0)',
676
      'width' => 32,
677
    },
678
    'from_register26_data_out_net' => {
679
      'hdlType' => 'std_logic',
680
      'width' => 1,
681
    },
682
    'from_register26_data_out_net_x0' => {
683
      'hdlType' => 'std_logic',
684
      'width' => 1,
685
    },
686
    'from_register27_data_out_net' => {
687
      'hdlType' => 'std_logic_vector(31 downto 0)',
688
      'width' => 32,
689
    },
690
    'from_register27_data_out_net_x0' => {
691
      'hdlType' => 'std_logic_vector(31 downto 0)',
692
      'width' => 32,
693
    },
694
    'from_register28_data_out_net' => {
695
      'hdlType' => 'std_logic',
696
      'width' => 1,
697
    },
698
    'from_register28_data_out_net_x0' => {
699
      'hdlType' => 'std_logic',
700
      'width' => 1,
701
    },
702
    'from_register2_data_out_net' => {
703
      'hdlType' => 'std_logic',
704
      'width' => 1,
705
    },
706
    'from_register2_data_out_net_x0' => {
707
      'hdlType' => 'std_logic',
708
      'width' => 1,
709
    },
710
    'from_register3_data_out_net' => {
711
      'hdlType' => 'std_logic_vector(31 downto 0)',
712
      'width' => 32,
713
    },
714
    'from_register3_data_out_net_x0' => {
715
      'hdlType' => 'std_logic_vector(31 downto 0)',
716
      'width' => 32,
717
    },
718
    'from_register4_data_out_net' => {
719
      'hdlType' => 'std_logic',
720
      'width' => 1,
721
    },
722
    'from_register4_data_out_net_x0' => {
723
      'hdlType' => 'std_logic',
724
      'width' => 1,
725
    },
726
    'from_register5_data_out_net' => {
727
      'hdlType' => 'std_logic_vector(31 downto 0)',
728
      'width' => 32,
729
    },
730
    'from_register5_data_out_net_x0' => {
731
      'hdlType' => 'std_logic_vector(31 downto 0)',
732
      'width' => 32,
733
    },
734
    'from_register6_data_out_net' => {
735
      'hdlType' => 'std_logic',
736
      'width' => 1,
737
    },
738
    'from_register6_data_out_net_x0' => {
739
      'hdlType' => 'std_logic',
740
      'width' => 1,
741
    },
742
    'from_register7_data_out_net' => {
743
      'hdlType' => 'std_logic_vector(31 downto 0)',
744
      'width' => 32,
745
    },
746
    'from_register7_data_out_net_x0' => {
747
      'hdlType' => 'std_logic_vector(31 downto 0)',
748
      'width' => 32,
749
    },
750
    'from_register8_data_out_net' => {
751
      'hdlType' => 'std_logic_vector(31 downto 0)',
752
      'width' => 32,
753
    },
754
    'from_register8_data_out_net_x0' => {
755
      'hdlType' => 'std_logic_vector(31 downto 0)',
756
      'width' => 32,
757
    },
758
    'from_register9_data_out_net' => {
759
      'hdlType' => 'std_logic',
760
      'width' => 1,
761
    },
762
    'from_register9_data_out_net_x0' => {
763
      'hdlType' => 'std_logic',
764
      'width' => 1,
765
    },
766
    'reg01_td_net' => {
767
      'hdlType' => 'std_logic_vector(31 downto 0)',
768
      'width' => 32,
769
    },
770
    'reg01_td_net_x0' => {
771
      'hdlType' => 'std_logic_vector(31 downto 0)',
772
      'width' => 32,
773
    },
774
    'reg01_tv_net' => {
775
      'hdlType' => 'std_logic',
776
      'width' => 1,
777
    },
778
    'reg01_tv_net_x0' => {
779
      'hdlType' => 'std_logic',
780
      'width' => 1,
781
    },
782
    'reg02_td_net' => {
783
      'hdlType' => 'std_logic_vector(31 downto 0)',
784
      'width' => 32,
785
    },
786
    'reg02_td_net_x0' => {
787
      'hdlType' => 'std_logic_vector(31 downto 0)',
788
      'width' => 32,
789
    },
790
    'reg02_tv_net' => {
791
      'hdlType' => 'std_logic',
792
      'width' => 1,
793
    },
794
    'reg02_tv_net_x0' => {
795
      'hdlType' => 'std_logic',
796
      'width' => 1,
797
    },
798
    'reg03_td_net' => {
799
      'hdlType' => 'std_logic_vector(31 downto 0)',
800
      'width' => 32,
801
    },
802
    'reg03_td_net_x0' => {
803
      'hdlType' => 'std_logic_vector(31 downto 0)',
804
      'width' => 32,
805
    },
806
    'reg03_tv_net' => {
807
      'hdlType' => 'std_logic',
808
      'width' => 1,
809
    },
810
    'reg03_tv_net_x0' => {
811
      'hdlType' => 'std_logic',
812
      'width' => 1,
813
    },
814
    'reg04_td_net' => {
815
      'hdlType' => 'std_logic_vector(31 downto 0)',
816
      'width' => 32,
817
    },
818
    'reg04_td_net_x0' => {
819
      'hdlType' => 'std_logic_vector(31 downto 0)',
820
      'width' => 32,
821
    },
822
    'reg04_tv_net' => {
823
      'hdlType' => 'std_logic',
824
      'width' => 1,
825
    },
826
    'reg04_tv_net_x0' => {
827
      'hdlType' => 'std_logic',
828
      'width' => 1,
829
    },
830
    'reg05_td_net' => {
831
      'hdlType' => 'std_logic_vector(31 downto 0)',
832
      'width' => 32,
833
    },
834
    'reg05_td_net_x0' => {
835
      'hdlType' => 'std_logic_vector(31 downto 0)',
836
      'width' => 32,
837
    },
838
    'reg05_tv_net' => {
839
      'hdlType' => 'std_logic',
840
      'width' => 1,
841
    },
842
    'reg05_tv_net_x0' => {
843
      'hdlType' => 'std_logic',
844
      'width' => 1,
845
    },
846
    'reg06_td_net' => {
847
      'hdlType' => 'std_logic_vector(31 downto 0)',
848
      'width' => 32,
849
    },
850
    'reg06_td_net_x0' => {
851
      'hdlType' => 'std_logic_vector(31 downto 0)',
852
      'width' => 32,
853
    },
854
    'reg06_tv_net' => {
855
      'hdlType' => 'std_logic',
856
      'width' => 1,
857
    },
858
    'reg06_tv_net_x0' => {
859
      'hdlType' => 'std_logic',
860
      'width' => 1,
861
    },
862
    'reg07_td_net' => {
863
      'hdlType' => 'std_logic_vector(31 downto 0)',
864
      'width' => 32,
865
    },
866
    'reg07_td_net_x0' => {
867
      'hdlType' => 'std_logic_vector(31 downto 0)',
868
      'width' => 32,
869
    },
870
    'reg07_tv_net' => {
871
      'hdlType' => 'std_logic',
872
      'width' => 1,
873
    },
874
    'reg07_tv_net_x0' => {
875
      'hdlType' => 'std_logic',
876
      'width' => 1,
877
    },
878
    'reg08_td_net' => {
879
      'hdlType' => 'std_logic_vector(31 downto 0)',
880
      'width' => 32,
881
    },
882
    'reg08_td_net_x0' => {
883
      'hdlType' => 'std_logic_vector(31 downto 0)',
884
      'width' => 32,
885
    },
886
    'reg08_tv_net' => {
887
      'hdlType' => 'std_logic',
888
      'width' => 1,
889
    },
890
    'reg08_tv_net_x0' => {
891
      'hdlType' => 'std_logic',
892
      'width' => 1,
893
    },
894
    'reg09_td_net' => {
895
      'hdlType' => 'std_logic_vector(31 downto 0)',
896
      'width' => 32,
897
    },
898
    'reg09_td_net_x0' => {
899
      'hdlType' => 'std_logic_vector(31 downto 0)',
900
      'width' => 32,
901
    },
902
    'reg09_tv_net' => {
903
      'hdlType' => 'std_logic',
904
      'width' => 1,
905
    },
906
    'reg09_tv_net_x0' => {
907
      'hdlType' => 'std_logic',
908
      'width' => 1,
909
    },
910
    'reg10_td_net' => {
911
      'hdlType' => 'std_logic_vector(31 downto 0)',
912
      'width' => 32,
913
    },
914
    'reg10_td_net_x0' => {
915
      'hdlType' => 'std_logic_vector(31 downto 0)',
916
      'width' => 32,
917
    },
918
    'reg10_tv_net' => {
919
      'hdlType' => 'std_logic',
920
      'width' => 1,
921
    },
922
    'reg10_tv_net_x0' => {
923
      'hdlType' => 'std_logic',
924
      'width' => 1,
925
    },
926
    'reg11_td_net' => {
927
      'hdlType' => 'std_logic_vector(31 downto 0)',
928
      'width' => 32,
929
    },
930
    'reg11_td_net_x0' => {
931
      'hdlType' => 'std_logic_vector(31 downto 0)',
932
      'width' => 32,
933
    },
934
    'reg11_tv_net' => {
935
      'hdlType' => 'std_logic',
936
      'width' => 1,
937
    },
938
    'reg11_tv_net_x0' => {
939
      'hdlType' => 'std_logic',
940
      'width' => 1,
941
    },
942
    'reg12_td_net' => {
943
      'hdlType' => 'std_logic_vector(31 downto 0)',
944
      'width' => 32,
945
    },
946
    'reg12_td_net_x0' => {
947
      'hdlType' => 'std_logic_vector(31 downto 0)',
948
      'width' => 32,
949
    },
950
    'reg12_tv_net' => {
951
      'hdlType' => 'std_logic',
952
      'width' => 1,
953
    },
954
    'reg12_tv_net_x0' => {
955
      'hdlType' => 'std_logic',
956
      'width' => 1,
957
    },
958
    'reg13_td_net' => {
959
      'hdlType' => 'std_logic_vector(31 downto 0)',
960
      'width' => 32,
961
    },
962
    'reg13_td_net_x0' => {
963
      'hdlType' => 'std_logic_vector(31 downto 0)',
964
      'width' => 32,
965
    },
966
    'reg13_tv_net' => {
967
      'hdlType' => 'std_logic',
968
      'width' => 1,
969
    },
970
    'reg13_tv_net_x0' => {
971
      'hdlType' => 'std_logic',
972
      'width' => 1,
973
    },
974
    'reg14_td_net' => {
975
      'hdlType' => 'std_logic_vector(31 downto 0)',
976
      'width' => 32,
977
    },
978
    'reg14_td_net_x0' => {
979
      'hdlType' => 'std_logic_vector(31 downto 0)',
980
      'width' => 32,
981
    },
982
    'reg14_tv_net' => {
983
      'hdlType' => 'std_logic',
984
      'width' => 1,
985
    },
986
    'reg14_tv_net_x0' => {
987
      'hdlType' => 'std_logic',
988
      'width' => 1,
989
    },
990
    'to_register10_dout_net' => {
991
      'hdlType' => 'std_logic',
992
      'width' => 1,
993
    },
994
    'to_register11_dout_net' => {
995
      'hdlType' => 'std_logic_vector(31 downto 0)',
996
      'width' => 32,
997
    },
998
    'to_register12_dout_net' => {
999
      'hdlType' => 'std_logic',
1000
      'width' => 1,
1001
    },
1002
    'to_register13_dout_net' => {
1003
      'hdlType' => 'std_logic_vector(31 downto 0)',
1004
      'width' => 32,
1005
    },
1006
    'to_register14_dout_net' => {
1007
      'hdlType' => 'std_logic',
1008
      'width' => 1,
1009
    },
1010
    'to_register15_dout_net' => {
1011
      'hdlType' => 'std_logic_vector(31 downto 0)',
1012
      'width' => 32,
1013
    },
1014
    'to_register16_dout_net' => {
1015
      'hdlType' => 'std_logic',
1016
      'width' => 1,
1017
    },
1018
    'to_register17_dout_net' => {
1019
      'hdlType' => 'std_logic_vector(31 downto 0)',
1020
      'width' => 32,
1021
    },
1022
    'to_register18_dout_net' => {
1023
      'hdlType' => 'std_logic',
1024
      'width' => 1,
1025
    },
1026
    'to_register19_dout_net' => {
1027
      'hdlType' => 'std_logic',
1028
      'width' => 1,
1029
    },
1030
    'to_register1_dout_net' => {
1031
      'hdlType' => 'std_logic_vector(31 downto 0)',
1032
      'width' => 32,
1033
    },
1034
    'to_register20_dout_net' => {
1035
      'hdlType' => 'std_logic_vector(31 downto 0)',
1036
      'width' => 32,
1037
    },
1038
    'to_register21_dout_net' => {
1039
      'hdlType' => 'std_logic',
1040
      'width' => 1,
1041
    },
1042
    'to_register22_dout_net' => {
1043
      'hdlType' => 'std_logic_vector(31 downto 0)',
1044
      'width' => 32,
1045
    },
1046
    'to_register23_dout_net' => {
1047
      'hdlType' => 'std_logic',
1048
      'width' => 1,
1049
    },
1050
    'to_register24_dout_net' => {
1051
      'hdlType' => 'std_logic_vector(31 downto 0)',
1052
      'width' => 32,
1053
    },
1054
    'to_register25_dout_net' => {
1055
      'hdlType' => 'std_logic',
1056
      'width' => 1,
1057
    },
1058
    'to_register26_dout_net' => {
1059
      'hdlType' => 'std_logic_vector(31 downto 0)',
1060
      'width' => 32,
1061
    },
1062
    'to_register27_dout_net' => {
1063
      'hdlType' => 'std_logic',
1064
      'width' => 1,
1065
    },
1066
    'to_register28_dout_net' => {
1067
      'hdlType' => 'std_logic_vector(31 downto 0)',
1068
      'width' => 32,
1069
    },
1070
    'to_register29_dout_net' => {
1071
      'hdlType' => 'std_logic',
1072
      'width' => 1,
1073
    },
1074
    'to_register2_dout_net' => {
1075
      'hdlType' => 'std_logic_vector(31 downto 0)',
1076
      'width' => 32,
1077
    },
1078
    'to_register30_dout_net' => {
1079
      'hdlType' => 'std_logic_vector(31 downto 0)',
1080
      'width' => 32,
1081
    },
1082
    'to_register31_dout_net' => {
1083
      'hdlType' => 'std_logic',
1084
      'width' => 1,
1085
    },
1086
    'to_register32_dout_net' => {
1087
      'hdlType' => 'std_logic_vector(31 downto 0)',
1088
      'width' => 32,
1089
    },
1090
    'to_register33_dout_net' => {
1091
      'hdlType' => 'std_logic',
1092
      'width' => 1,
1093
    },
1094
    'to_register34_dout_net' => {
1095
      'hdlType' => 'std_logic_vector(31 downto 0)',
1096
      'width' => 32,
1097
    },
1098
    'to_register3_dout_net' => {
1099
      'hdlType' => 'std_logic',
1100
      'width' => 1,
1101
    },
1102
    'to_register4_dout_net' => {
1103
      'hdlType' => 'std_logic',
1104
      'width' => 1,
1105
    },
1106
    'to_register5_dout_net' => {
1107
      'hdlType' => 'std_logic_vector(31 downto 0)',
1108
      'width' => 32,
1109
    },
1110
    'to_register6_dout_net' => {
1111
      'hdlType' => 'std_logic_vector(31 downto 0)',
1112
      'width' => 32,
1113
    },
1114
    'to_register7_dout_net' => {
1115
      'hdlType' => 'std_logic_vector(31 downto 0)',
1116
      'width' => 32,
1117
    },
1118
    'to_register8_dout_net' => {
1119
      'hdlType' => 'std_logic',
1120
      'width' => 1,
1121
    },
1122
    'to_register9_dout_net' => {
1123
      'hdlType' => 'std_logic_vector(31 downto 0)',
1124
      'width' => 32,
1125
    },
1126
  },
1127
  'subblocks' => {
1128
    'debug_in_1i' => {
1129
      'connections' => { 'debug_in_1i' => 'debug_in_1i_net', },
1130
      'entity' => {
1131
        'attributes' => {
1132
          'isGateway' => 1,
1133
          'is_floating_block' => 1,
1134
        },
1135
        'entityName' => 'debug_in_1i',
1136
        'ports' => {
1137
          'debug_in_1i' => {
1138
            'attributes' => {
1139
              'bin_pt' => 0,
1140
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
1141
              'is_floating_block' => 1,
1142
              'is_gateway_port' => 1,
1143
              'must_be_hdl_vector' => 1,
1144
              'period' => 1.0,
1145
              'port_id' => '0',
1146
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i/debug_in_1i',
1147
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i',
1148
              'timingConstraint' => 'none',
1149
              'type' => 'UFix_32_0',
1150
            },
1151
            'direction' => 'out',
1152
            'hdlType' => 'std_logic_vector(31 downto 0)',
1153
            'width' => 32,
1154
          },
1155
        },
1156
      },
1157
      'entityName' => 'debug_in_1i',
1158
    },
1159
    'debug_in_2i' => {
1160
      'connections' => { 'debug_in_2i' => 'debug_in_2i_net', },
1161
      'entity' => {
1162
        'attributes' => {
1163
          'isGateway' => 1,
1164
          'is_floating_block' => 1,
1165
        },
1166
        'entityName' => 'debug_in_2i',
1167
        'ports' => {
1168
          'debug_in_2i' => {
1169
            'attributes' => {
1170
              'bin_pt' => 0,
1171
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
1172
              'is_floating_block' => 1,
1173
              'is_gateway_port' => 1,
1174
              'must_be_hdl_vector' => 1,
1175
              'period' => 1.0,
1176
              'port_id' => '0',
1177
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i/debug_in_2i',
1178
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i',
1179
              'timingConstraint' => 'none',
1180
              'type' => 'UFix_32_0',
1181
            },
1182
            'direction' => 'out',
1183
            'hdlType' => 'std_logic_vector(31 downto 0)',
1184
            'width' => 32,
1185
          },
1186
        },
1187
      },
1188
      'entityName' => 'debug_in_2i',
1189
    },
1190
    'debug_in_3i' => {
1191
      'connections' => { 'debug_in_3i' => 'debug_in_3i_net', },
1192
      'entity' => {
1193
        'attributes' => {
1194
          'isGateway' => 1,
1195
          'is_floating_block' => 1,
1196
        },
1197
        'entityName' => 'debug_in_3i',
1198
        'ports' => {
1199
          'debug_in_3i' => {
1200
            'attributes' => {
1201
              'bin_pt' => 0,
1202
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
1203
              'is_floating_block' => 1,
1204
              'is_gateway_port' => 1,
1205
              'must_be_hdl_vector' => 1,
1206
              'period' => 1.0,
1207
              'port_id' => '0',
1208
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i/debug_in_3i',
1209
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i',
1210
              'timingConstraint' => 'none',
1211
              'type' => 'UFix_32_0',
1212
            },
1213
            'direction' => 'out',
1214
            'hdlType' => 'std_logic_vector(31 downto 0)',
1215
            'width' => 32,
1216
          },
1217
        },
1218
      },
1219
      'entityName' => 'debug_in_3i',
1220
    },
1221
    'debug_in_4i' => {
1222
      'connections' => { 'debug_in_4i' => 'debug_in_4i_net', },
1223
      'entity' => {
1224
        'attributes' => {
1225
          'isGateway' => 1,
1226
          'is_floating_block' => 1,
1227
        },
1228
        'entityName' => 'debug_in_4i',
1229
        'ports' => {
1230
          'debug_in_4i' => {
1231
            'attributes' => {
1232
              'bin_pt' => 0,
1233
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
1234
              'is_floating_block' => 1,
1235
              'is_gateway_port' => 1,
1236
              'must_be_hdl_vector' => 1,
1237
              'period' => 1.0,
1238
              'port_id' => '0',
1239
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i/debug_in_4i',
1240
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i',
1241
              'timingConstraint' => 'none',
1242
              'type' => 'UFix_32_0',
1243
            },
1244
            'direction' => 'out',
1245
            'hdlType' => 'std_logic_vector(31 downto 0)',
1246
            'width' => 32,
1247
          },
1248
        },
1249
      },
1250
      'entityName' => 'debug_in_4i',
1251
    },
1252
    'default_clock_driver' => {
1253
      'connections' => {
1254
        'ce_1' => 'ce_1_sg',
1255
        'clk_1' => 'clk_1_sg',
1256
      },
1257
      'entity' => {
1258
        'attributes' => {
1259
          'domain' => 'default',
1260
          'isClkDriver' => 1,
1261
        },
1262
        'entityName' => 'default_clock_driver',
1263
        'ports' => {
1264
          'ce_1' => {
1265
            'attributes' => {
1266
              'domain' => 'default',
1267
              'group' => 1,
1268
              'isCe' => 1,
1269
              'period' => 1.0,
1270
              'type' => 'logic',
1271
            },
1272
            'direction' => 'out',
1273
            'hdlType' => 'std_logic',
1274
            'width' => 1,
1275
          },
1276
          'clk_1' => {
1277
            'attributes' => {
1278
              'domain' => 'default',
1279
              'group' => 1,
1280
              'isClk' => 1,
1281
              'period' => 1.0,
1282
              'type' => 'logic',
1283
            },
1284
            'direction' => 'out',
1285
            'hdlType' => 'std_logic',
1286
            'width' => 1,
1287
          },
1288
        },
1289
      },
1290
      'entityName' => 'default_clock_driver',
1291
    },
1292
    'dma_host2board_busy' => {
1293
      'connections' => { 'dma_host2board_busy' => 'dma_host2board_busy_net', },
1294
      'entity' => {
1295
        'attributes' => {
1296
          'isGateway' => 1,
1297
          'is_floating_block' => 1,
1298
        },
1299
        'entityName' => 'dma_host2board_busy',
1300
        'ports' => {
1301
          'dma_host2board_busy' => {
1302
            'attributes' => {
1303
              'bin_pt' => 0,
1304
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
1305
              'is_floating_block' => 1,
1306
              'is_gateway_port' => 1,
1307
              'must_be_hdl_vector' => 1,
1308
              'period' => 1.0,
1309
              'port_id' => '0',
1310
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy/DMA_Host2Board_Busy',
1311
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy',
1312
              'timingConstraint' => 'none',
1313
              'type' => 'UFix_1_0',
1314
            },
1315
            'direction' => 'out',
1316
            'hdlType' => 'std_logic',
1317
            'width' => 1,
1318
          },
1319
        },
1320
      },
1321
      'entityName' => 'dma_host2board_busy',
1322
    },
1323
    'dma_host2board_done' => {
1324
      'connections' => { 'dma_host2board_done' => 'dma_host2board_done_net', },
1325
      'entity' => {
1326
        'attributes' => {
1327
          'isGateway' => 1,
1328
          'is_floating_block' => 1,
1329
        },
1330
        'entityName' => 'dma_host2board_done',
1331
        'ports' => {
1332
          'dma_host2board_done' => {
1333
            'attributes' => {
1334
              'bin_pt' => 0,
1335
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
1336
              'is_floating_block' => 1,
1337
              'is_gateway_port' => 1,
1338
              'must_be_hdl_vector' => 1,
1339
              'period' => 1.0,
1340
              'port_id' => '0',
1341
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done/DMA_Host2Board_Done',
1342
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done',
1343
              'timingConstraint' => 'none',
1344
              'type' => 'UFix_1_0',
1345
            },
1346
            'direction' => 'out',
1347
            'hdlType' => 'std_logic',
1348
            'width' => 1,
1349
          },
1350
        },
1351
      },
1352
      'entityName' => 'dma_host2board_done',
1353
    },
1354
    'from_register1' => {
1355
      'connections' => { 'data_out' => 'from_register1_data_out_net', },
1356
      'entity' => {
1357
        'attributes' => {
1358
          'generics' => [],
1359
          'is_floating_block' => 1,
1360
          'mask' => {
1361
            'Block_Handle' => 10.0009765625,
1362
            'Block_handle' => 10.0009765625,
1363
            'MDL_Handle' => 3.0009765625,
1364
            'MDL_handle' => 3.0009765625,
1365
            'arith_type' => 2,
1366
            'bin_pt' => 0,
1367
            'block_config' => 'sysgen_blockset:fromreg_config',
1368
            'block_handle' => 10.0009765625,
1369
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1370
            'block_type' => 'fromreg',
1371
            'dbl_ovrd' => 0,
1372
            'init' => 0.0,
1373
            'init_bit_vector' => '0b',
1374
            'mdl_handle' => 3.0009765625,
1375
            'model_handle' => 3.0009765625,
1376
            'n_bits' => 1,
1377
            'ownership' => 2,
1378
            'period' => 8.0E-9,
1379
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1380
            'shared_memory_name' => 'register01rv',
1381
          },
1382
          'needs_vhdl_wrapper' => 0,
1383
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1384
        },
1385
        'entityName' => 'x_x61',
1386
        'ports' => {
1387
          'data_out' => {
1388
            'attributes' => {
1389
              'bin_pt' => 0,
1390
              'is_floating_block' => 1,
1391
              'must_be_hdl_vector' => 1,
1392
              'period' => 1.0,
1393
              'port_id' => '0',
1394
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1/data_out',
1395
              'type' => 'UFix_1_0',
1396
            },
1397
            'direction' => 'out',
1398
            'hdlType' => 'std_logic_vector(0 downto 0)',
1399
            'width' => 1,
1400
          },
1401
        },
1402
      },
1403
      'entityName' => 'x_x61',
1404
    },
1405
    'from_register10' => {
1406
      'connections' => { 'data_out' => 'from_register10_data_out_net', },
1407
      'entity' => {
1408
        'attributes' => {
1409
          'generics' => [],
1410
          'is_floating_block' => 1,
1411
          'mask' => {
1412
            'Block_Handle' => 11.0009765625,
1413
            'Block_handle' => 11.0009765625,
1414
            'MDL_Handle' => 3.0009765625,
1415
            'MDL_handle' => 3.0009765625,
1416
            'arith_type' => 2,
1417
            'bin_pt' => 0,
1418
            'block_config' => 'sysgen_blockset:fromreg_config',
1419
            'block_handle' => 11.0009765625,
1420
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1421
            'block_type' => 'fromreg',
1422
            'dbl_ovrd' => 0,
1423
            'init' => 0.0,
1424
            'init_bit_vector' => '00000000000000000000000000000000b',
1425
            'mdl_handle' => 3.0009765625,
1426
            'model_handle' => 3.0009765625,
1427
            'n_bits' => 32,
1428
            'ownership' => 2,
1429
            'period' => 8.0E-9,
1430
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1431
            'shared_memory_name' => 'register05rd',
1432
          },
1433
          'needs_vhdl_wrapper' => 0,
1434
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1435
        },
1436
        'entityName' => 'x_x62',
1437
        'ports' => {
1438
          'data_out' => {
1439
            'attributes' => {
1440
              'bin_pt' => 0,
1441
              'is_floating_block' => 1,
1442
              'must_be_hdl_vector' => 1,
1443
              'period' => 1.0,
1444
              'port_id' => '0',
1445
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10/data_out',
1446
              'type' => 'UFix_32_0',
1447
            },
1448
            'direction' => 'out',
1449
            'hdlType' => 'std_logic_vector(31 downto 0)',
1450
            'width' => 32,
1451
          },
1452
        },
1453
      },
1454
      'entityName' => 'x_x62',
1455
    },
1456
    'from_register11' => {
1457
      'connections' => { 'data_out' => 'from_register11_data_out_net', },
1458
      'entity' => {
1459
        'attributes' => {
1460
          'generics' => [],
1461
          'is_floating_block' => 1,
1462
          'mask' => {
1463
            'Block_Handle' => 12.0009765625,
1464
            'Block_handle' => 12.0009765625,
1465
            'MDL_Handle' => 3.0009765625,
1466
            'MDL_handle' => 3.0009765625,
1467
            'arith_type' => 2,
1468
            'bin_pt' => 0,
1469
            'block_config' => 'sysgen_blockset:fromreg_config',
1470
            'block_handle' => 12.0009765625,
1471
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1472
            'block_type' => 'fromreg',
1473
            'dbl_ovrd' => 0,
1474
            'init' => 0.0,
1475
            'init_bit_vector' => '00000000000000000000000000000000b',
1476
            'mdl_handle' => 3.0009765625,
1477
            'model_handle' => 3.0009765625,
1478
            'n_bits' => 32,
1479
            'ownership' => 2,
1480
            'period' => 8.0E-9,
1481
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1482
            'shared_memory_name' => 'register06rd',
1483
          },
1484
          'needs_vhdl_wrapper' => 0,
1485
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1486
        },
1487
        'entityName' => 'x_x63',
1488
        'ports' => {
1489
          'data_out' => {
1490
            'attributes' => {
1491
              'bin_pt' => 0,
1492
              'is_floating_block' => 1,
1493
              'must_be_hdl_vector' => 1,
1494
              'period' => 1.0,
1495
              'port_id' => '0',
1496
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11/data_out',
1497
              'type' => 'UFix_32_0',
1498
            },
1499
            'direction' => 'out',
1500
            'hdlType' => 'std_logic_vector(31 downto 0)',
1501
            'width' => 32,
1502
          },
1503
        },
1504
      },
1505
      'entityName' => 'x_x63',
1506
    },
1507
    'from_register12' => {
1508
      'connections' => { 'data_out' => 'from_register12_data_out_net', },
1509
      'entity' => {
1510
        'attributes' => {
1511
          'generics' => [],
1512
          'is_floating_block' => 1,
1513
          'mask' => {
1514
            'Block_Handle' => 13.0009765625,
1515
            'Block_handle' => 13.0009765625,
1516
            'MDL_Handle' => 3.0009765625,
1517
            'MDL_handle' => 3.0009765625,
1518
            'arith_type' => 2,
1519
            'bin_pt' => 0,
1520
            'block_config' => 'sysgen_blockset:fromreg_config',
1521
            'block_handle' => 13.0009765625,
1522
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1523
            'block_type' => 'fromreg',
1524
            'dbl_ovrd' => 0,
1525
            'init' => 0.0,
1526
            'init_bit_vector' => '0b',
1527
            'mdl_handle' => 3.0009765625,
1528
            'model_handle' => 3.0009765625,
1529
            'n_bits' => 1,
1530
            'ownership' => 2,
1531
            'period' => 8.0E-9,
1532
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1533
            'shared_memory_name' => 'register06rv',
1534
          },
1535
          'needs_vhdl_wrapper' => 0,
1536
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1537
        },
1538
        'entityName' => 'x_x64',
1539
        'ports' => {
1540
          'data_out' => {
1541
            'attributes' => {
1542
              'bin_pt' => 0,
1543
              'is_floating_block' => 1,
1544
              'must_be_hdl_vector' => 1,
1545
              'period' => 1.0,
1546
              'port_id' => '0',
1547
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12/data_out',
1548
              'type' => 'UFix_1_0',
1549
            },
1550
            'direction' => 'out',
1551
            'hdlType' => 'std_logic_vector(0 downto 0)',
1552
            'width' => 1,
1553
          },
1554
        },
1555
      },
1556
      'entityName' => 'x_x64',
1557
    },
1558
    'from_register13' => {
1559
      'connections' => { 'data_out' => 'from_register13_data_out_net', },
1560
      'entity' => {
1561
        'attributes' => {
1562
          'generics' => [],
1563
          'is_floating_block' => 1,
1564
          'mask' => {
1565
            'Block_Handle' => 14.0009765625,
1566
            'Block_handle' => 14.0009765625,
1567
            'MDL_Handle' => 3.0009765625,
1568
            'MDL_handle' => 3.0009765625,
1569
            'arith_type' => 2,
1570
            'bin_pt' => 0,
1571
            'block_config' => 'sysgen_blockset:fromreg_config',
1572
            'block_handle' => 14.0009765625,
1573
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1574
            'block_type' => 'fromreg',
1575
            'dbl_ovrd' => 0,
1576
            'init' => 0.0,
1577
            'init_bit_vector' => '00000000000000000000000000000000b',
1578
            'mdl_handle' => 3.0009765625,
1579
            'model_handle' => 3.0009765625,
1580
            'n_bits' => 32,
1581
            'ownership' => 2,
1582
            'period' => 8.0E-9,
1583
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1584
            'shared_memory_name' => 'register07rd',
1585
          },
1586
          'needs_vhdl_wrapper' => 0,
1587
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1588
        },
1589
        'entityName' => 'x_x65',
1590
        'ports' => {
1591
          'data_out' => {
1592
            'attributes' => {
1593
              'bin_pt' => 0,
1594
              'is_floating_block' => 1,
1595
              'must_be_hdl_vector' => 1,
1596
              'period' => 1.0,
1597
              'port_id' => '0',
1598
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13/data_out',
1599
              'type' => 'UFix_32_0',
1600
            },
1601
            'direction' => 'out',
1602
            'hdlType' => 'std_logic_vector(31 downto 0)',
1603
            'width' => 32,
1604
          },
1605
        },
1606
      },
1607
      'entityName' => 'x_x65',
1608
    },
1609
    'from_register14' => {
1610
      'connections' => { 'data_out' => 'from_register14_data_out_net', },
1611
      'entity' => {
1612
        'attributes' => {
1613
          'generics' => [],
1614
          'is_floating_block' => 1,
1615
          'mask' => {
1616
            'Block_Handle' => 15.0009765625,
1617
            'Block_handle' => 15.0009765625,
1618
            'MDL_Handle' => 3.0009765625,
1619
            'MDL_handle' => 3.0009765625,
1620
            'arith_type' => 2,
1621
            'bin_pt' => 0,
1622
            'block_config' => 'sysgen_blockset:fromreg_config',
1623
            'block_handle' => 15.0009765625,
1624
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1625
            'block_type' => 'fromreg',
1626
            'dbl_ovrd' => 0,
1627
            'init' => 0.0,
1628
            'init_bit_vector' => '0b',
1629
            'mdl_handle' => 3.0009765625,
1630
            'model_handle' => 3.0009765625,
1631
            'n_bits' => 1,
1632
            'ownership' => 2,
1633
            'period' => 8.0E-9,
1634
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1635
            'shared_memory_name' => 'register07rv',
1636
          },
1637
          'needs_vhdl_wrapper' => 0,
1638
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1639
        },
1640
        'entityName' => 'x_x66',
1641
        'ports' => {
1642
          'data_out' => {
1643
            'attributes' => {
1644
              'bin_pt' => 0,
1645
              'is_floating_block' => 1,
1646
              'must_be_hdl_vector' => 1,
1647
              'period' => 1.0,
1648
              'port_id' => '0',
1649
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14/data_out',
1650
              'type' => 'UFix_1_0',
1651
            },
1652
            'direction' => 'out',
1653
            'hdlType' => 'std_logic_vector(0 downto 0)',
1654
            'width' => 1,
1655
          },
1656
        },
1657
      },
1658
      'entityName' => 'x_x66',
1659
    },
1660
    'from_register15' => {
1661
      'connections' => { 'data_out' => 'from_register15_data_out_net', },
1662
      'entity' => {
1663
        'attributes' => {
1664
          'generics' => [],
1665
          'is_floating_block' => 1,
1666
          'mask' => {
1667
            'Block_Handle' => 16.0009765625,
1668
            'Block_handle' => 16.0009765625,
1669
            'MDL_Handle' => 3.0009765625,
1670
            'MDL_handle' => 3.0009765625,
1671
            'arith_type' => 2,
1672
            'bin_pt' => 0,
1673
            'block_config' => 'sysgen_blockset:fromreg_config',
1674
            'block_handle' => 16.0009765625,
1675
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1676
            'block_type' => 'fromreg',
1677
            'dbl_ovrd' => 0,
1678
            'init' => 0.0,
1679
            'init_bit_vector' => '00000000000000000000000000000000b',
1680
            'mdl_handle' => 3.0009765625,
1681
            'model_handle' => 3.0009765625,
1682
            'n_bits' => 32,
1683
            'ownership' => 2,
1684
            'period' => 8.0E-9,
1685
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1686
            'shared_memory_name' => 'register08rd',
1687
          },
1688
          'needs_vhdl_wrapper' => 0,
1689
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1690
        },
1691
        'entityName' => 'x_x67',
1692
        'ports' => {
1693
          'data_out' => {
1694
            'attributes' => {
1695
              'bin_pt' => 0,
1696
              'is_floating_block' => 1,
1697
              'must_be_hdl_vector' => 1,
1698
              'period' => 1.0,
1699
              'port_id' => '0',
1700
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15/data_out',
1701
              'type' => 'UFix_32_0',
1702
            },
1703
            'direction' => 'out',
1704
            'hdlType' => 'std_logic_vector(31 downto 0)',
1705
            'width' => 32,
1706
          },
1707
        },
1708
      },
1709
      'entityName' => 'x_x67',
1710
    },
1711
    'from_register16' => {
1712
      'connections' => { 'data_out' => 'from_register16_data_out_net', },
1713
      'entity' => {
1714
        'attributes' => {
1715
          'generics' => [],
1716
          'is_floating_block' => 1,
1717
          'mask' => {
1718
            'Block_Handle' => 17.0009765625,
1719
            'Block_handle' => 17.0009765625,
1720
            'MDL_Handle' => 3.0009765625,
1721
            'MDL_handle' => 3.0009765625,
1722
            'arith_type' => 2,
1723
            'bin_pt' => 0,
1724
            'block_config' => 'sysgen_blockset:fromreg_config',
1725
            'block_handle' => 17.0009765625,
1726
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1727
            'block_type' => 'fromreg',
1728
            'dbl_ovrd' => 0,
1729
            'init' => 0.0,
1730
            'init_bit_vector' => '0b',
1731
            'mdl_handle' => 3.0009765625,
1732
            'model_handle' => 3.0009765625,
1733
            'n_bits' => 1,
1734
            'ownership' => 2,
1735
            'period' => 8.0E-9,
1736
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1737
            'shared_memory_name' => 'register08rv',
1738
          },
1739
          'needs_vhdl_wrapper' => 0,
1740
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1741
        },
1742
        'entityName' => 'x_x68',
1743
        'ports' => {
1744
          'data_out' => {
1745
            'attributes' => {
1746
              'bin_pt' => 0,
1747
              'is_floating_block' => 1,
1748
              'must_be_hdl_vector' => 1,
1749
              'period' => 1.0,
1750
              'port_id' => '0',
1751
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16/data_out',
1752
              'type' => 'UFix_1_0',
1753
            },
1754
            'direction' => 'out',
1755
            'hdlType' => 'std_logic_vector(0 downto 0)',
1756
            'width' => 1,
1757
          },
1758
        },
1759
      },
1760
      'entityName' => 'x_x68',
1761
    },
1762
    'from_register17' => {
1763
      'connections' => { 'data_out' => 'from_register17_data_out_net', },
1764
      'entity' => {
1765
        'attributes' => {
1766
          'generics' => [],
1767
          'is_floating_block' => 1,
1768
          'mask' => {
1769
            'Block_Handle' => 18.0009765625,
1770
            'Block_handle' => 18.0009765625,
1771
            'MDL_Handle' => 3.0009765625,
1772
            'MDL_handle' => 3.0009765625,
1773
            'arith_type' => 2,
1774
            'bin_pt' => 0,
1775
            'block_config' => 'sysgen_blockset:fromreg_config',
1776
            'block_handle' => 18.0009765625,
1777
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1778
            'block_type' => 'fromreg',
1779
            'dbl_ovrd' => 0,
1780
            'init' => 0.0,
1781
            'init_bit_vector' => '00000000000000000000000000000000b',
1782
            'mdl_handle' => 3.0009765625,
1783
            'model_handle' => 3.0009765625,
1784
            'n_bits' => 32,
1785
            'ownership' => 2,
1786
            'period' => 8.0E-9,
1787
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1788
            'shared_memory_name' => 'register09rd',
1789
          },
1790
          'needs_vhdl_wrapper' => 0,
1791
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1792
        },
1793
        'entityName' => 'x_x69',
1794
        'ports' => {
1795
          'data_out' => {
1796
            'attributes' => {
1797
              'bin_pt' => 0,
1798
              'is_floating_block' => 1,
1799
              'must_be_hdl_vector' => 1,
1800
              'period' => 1.0,
1801
              'port_id' => '0',
1802
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17/data_out',
1803
              'type' => 'UFix_32_0',
1804
            },
1805
            'direction' => 'out',
1806
            'hdlType' => 'std_logic_vector(31 downto 0)',
1807
            'width' => 32,
1808
          },
1809
        },
1810
      },
1811
      'entityName' => 'x_x69',
1812
    },
1813
    'from_register18' => {
1814
      'connections' => { 'data_out' => 'from_register18_data_out_net', },
1815
      'entity' => {
1816
        'attributes' => {
1817
          'generics' => [],
1818
          'is_floating_block' => 1,
1819
          'mask' => {
1820
            'Block_Handle' => 19.0009765625,
1821
            'Block_handle' => 19.0009765625,
1822
            'MDL_Handle' => 3.0009765625,
1823
            'MDL_handle' => 3.0009765625,
1824
            'arith_type' => 2,
1825
            'bin_pt' => 0,
1826
            'block_config' => 'sysgen_blockset:fromreg_config',
1827
            'block_handle' => 19.0009765625,
1828
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1829
            'block_type' => 'fromreg',
1830
            'dbl_ovrd' => 0,
1831
            'init' => 0.0,
1832
            'init_bit_vector' => '0b',
1833
            'mdl_handle' => 3.0009765625,
1834
            'model_handle' => 3.0009765625,
1835
            'n_bits' => 1,
1836
            'ownership' => 2,
1837
            'period' => 8.0E-9,
1838
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1839
            'shared_memory_name' => 'register09rv',
1840
          },
1841
          'needs_vhdl_wrapper' => 0,
1842
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1843
        },
1844
        'entityName' => 'x_x70',
1845
        'ports' => {
1846
          'data_out' => {
1847
            'attributes' => {
1848
              'bin_pt' => 0,
1849
              'is_floating_block' => 1,
1850
              'must_be_hdl_vector' => 1,
1851
              'period' => 1.0,
1852
              'port_id' => '0',
1853
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18/data_out',
1854
              'type' => 'UFix_1_0',
1855
            },
1856
            'direction' => 'out',
1857
            'hdlType' => 'std_logic_vector(0 downto 0)',
1858
            'width' => 1,
1859
          },
1860
        },
1861
      },
1862
      'entityName' => 'x_x70',
1863
    },
1864
    'from_register19' => {
1865
      'connections' => { 'data_out' => 'from_register19_data_out_net', },
1866
      'entity' => {
1867
        'attributes' => {
1868
          'generics' => [],
1869
          'is_floating_block' => 1,
1870
          'mask' => {
1871
            'Block_Handle' => 20.0009765625,
1872
            'Block_handle' => 20.0009765625,
1873
            'MDL_Handle' => 3.0009765625,
1874
            'MDL_handle' => 3.0009765625,
1875
            'arith_type' => 2,
1876
            'bin_pt' => 0,
1877
            'block_config' => 'sysgen_blockset:fromreg_config',
1878
            'block_handle' => 20.0009765625,
1879
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1880
            'block_type' => 'fromreg',
1881
            'dbl_ovrd' => 0,
1882
            'init' => 0.0,
1883
            'init_bit_vector' => '00000000000000000000000000000000b',
1884
            'mdl_handle' => 3.0009765625,
1885
            'model_handle' => 3.0009765625,
1886
            'n_bits' => 32,
1887
            'ownership' => 2,
1888
            'period' => 8.0E-9,
1889
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1890
            'shared_memory_name' => 'register10rd',
1891
          },
1892
          'needs_vhdl_wrapper' => 0,
1893
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1894
        },
1895
        'entityName' => 'x_x71',
1896
        'ports' => {
1897
          'data_out' => {
1898
            'attributes' => {
1899
              'bin_pt' => 0,
1900
              'is_floating_block' => 1,
1901
              'must_be_hdl_vector' => 1,
1902
              'period' => 1.0,
1903
              'port_id' => '0',
1904
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19/data_out',
1905
              'type' => 'UFix_32_0',
1906
            },
1907
            'direction' => 'out',
1908
            'hdlType' => 'std_logic_vector(31 downto 0)',
1909
            'width' => 32,
1910
          },
1911
        },
1912
      },
1913
      'entityName' => 'x_x71',
1914
    },
1915
    'from_register2' => {
1916
      'connections' => { 'data_out' => 'from_register2_data_out_net', },
1917
      'entity' => {
1918
        'attributes' => {
1919
          'generics' => [],
1920
          'is_floating_block' => 1,
1921
          'mask' => {
1922
            'Block_Handle' => 21.0009765625,
1923
            'Block_handle' => 21.0009765625,
1924
            'MDL_Handle' => 3.0009765625,
1925
            'MDL_handle' => 3.0009765625,
1926
            'arith_type' => 2,
1927
            'bin_pt' => 0,
1928
            'block_config' => 'sysgen_blockset:fromreg_config',
1929
            'block_handle' => 21.0009765625,
1930
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
1931
            'block_type' => 'fromreg',
1932
            'dbl_ovrd' => 0,
1933
            'init' => 0.0,
1934
            'init_bit_vector' => '0b',
1935
            'mdl_handle' => 3.0009765625,
1936
            'model_handle' => 3.0009765625,
1937
            'n_bits' => 1,
1938
            'ownership' => 2,
1939
            'period' => 8.0E-9,
1940
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1941
            'shared_memory_name' => 'register02rv',
1942
          },
1943
          'needs_vhdl_wrapper' => 0,
1944
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
1945
        },
1946
        'entityName' => 'x_x72',
1947
        'ports' => {
1948
          'data_out' => {
1949
            'attributes' => {
1950
              'bin_pt' => 0,
1951
              'is_floating_block' => 1,
1952
              'must_be_hdl_vector' => 1,
1953
              'period' => 1.0,
1954
              'port_id' => '0',
1955
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2/data_out',
1956
              'type' => 'UFix_1_0',
1957
            },
1958
            'direction' => 'out',
1959
            'hdlType' => 'std_logic_vector(0 downto 0)',
1960
            'width' => 1,
1961
          },
1962
        },
1963
      },
1964
      'entityName' => 'x_x72',
1965
    },
1966
    'from_register20' => {
1967
      'connections' => { 'data_out' => 'from_register20_data_out_net', },
1968
      'entity' => {
1969
        'attributes' => {
1970
          'generics' => [],
1971
          'is_floating_block' => 1,
1972
          'mask' => {
1973
            'Block_Handle' => 22.0009765625,
1974
            'Block_handle' => 22.0009765625,
1975
            'MDL_Handle' => 3.0009765625,
1976
            'MDL_handle' => 3.0009765625,
1977
            'arith_type' => 2,
1978
            'bin_pt' => 0,
1979
            'block_config' => 'sysgen_blockset:fromreg_config',
1980
            'block_handle' => 22.0009765625,
1981
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
1982
            'block_type' => 'fromreg',
1983
            'dbl_ovrd' => 0,
1984
            'init' => 0.0,
1985
            'init_bit_vector' => '0b',
1986
            'mdl_handle' => 3.0009765625,
1987
            'model_handle' => 3.0009765625,
1988
            'n_bits' => 1,
1989
            'ownership' => 2,
1990
            'period' => 8.0E-9,
1991
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1992
            'shared_memory_name' => 'register10rv',
1993
          },
1994
          'needs_vhdl_wrapper' => 0,
1995
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
1996
        },
1997
        'entityName' => 'x_x73',
1998
        'ports' => {
1999
          'data_out' => {
2000
            'attributes' => {
2001
              'bin_pt' => 0,
2002
              'is_floating_block' => 1,
2003
              'must_be_hdl_vector' => 1,
2004
              'period' => 1.0,
2005
              'port_id' => '0',
2006
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20/data_out',
2007
              'type' => 'UFix_1_0',
2008
            },
2009
            'direction' => 'out',
2010
            'hdlType' => 'std_logic_vector(0 downto 0)',
2011
            'width' => 1,
2012
          },
2013
        },
2014
      },
2015
      'entityName' => 'x_x73',
2016
    },
2017
    'from_register21' => {
2018
      'connections' => { 'data_out' => 'from_register21_data_out_net', },
2019
      'entity' => {
2020
        'attributes' => {
2021
          'generics' => [],
2022
          'is_floating_block' => 1,
2023
          'mask' => {
2024
            'Block_Handle' => 23.0009765625,
2025
            'Block_handle' => 23.0009765625,
2026
            'MDL_Handle' => 3.0009765625,
2027
            'MDL_handle' => 3.0009765625,
2028
            'arith_type' => 2,
2029
            'bin_pt' => 0,
2030
            'block_config' => 'sysgen_blockset:fromreg_config',
2031
            'block_handle' => 23.0009765625,
2032
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2033
            'block_type' => 'fromreg',
2034
            'dbl_ovrd' => 0,
2035
            'init' => 0.0,
2036
            'init_bit_vector' => '00000000000000000000000000000000b',
2037
            'mdl_handle' => 3.0009765625,
2038
            'model_handle' => 3.0009765625,
2039
            'n_bits' => 32,
2040
            'ownership' => 2,
2041
            'period' => 8.0E-9,
2042
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2043
            'shared_memory_name' => 'register11rd',
2044
          },
2045
          'needs_vhdl_wrapper' => 0,
2046
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2047
        },
2048
        'entityName' => 'x_x74',
2049
        'ports' => {
2050
          'data_out' => {
2051
            'attributes' => {
2052
              'bin_pt' => 0,
2053
              'is_floating_block' => 1,
2054
              'must_be_hdl_vector' => 1,
2055
              'period' => 1.0,
2056
              'port_id' => '0',
2057
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21/data_out',
2058
              'type' => 'UFix_32_0',
2059
            },
2060
            'direction' => 'out',
2061
            'hdlType' => 'std_logic_vector(31 downto 0)',
2062
            'width' => 32,
2063
          },
2064
        },
2065
      },
2066
      'entityName' => 'x_x74',
2067
    },
2068
    'from_register22' => {
2069
      'connections' => { 'data_out' => 'from_register22_data_out_net', },
2070
      'entity' => {
2071
        'attributes' => {
2072
          'generics' => [],
2073
          'is_floating_block' => 1,
2074
          'mask' => {
2075
            'Block_Handle' => 24.0009765625,
2076
            'Block_handle' => 24.0009765625,
2077
            'MDL_Handle' => 3.0009765625,
2078
            'MDL_handle' => 3.0009765625,
2079
            'arith_type' => 2,
2080
            'bin_pt' => 0,
2081
            'block_config' => 'sysgen_blockset:fromreg_config',
2082
            'block_handle' => 24.0009765625,
2083
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2084
            'block_type' => 'fromreg',
2085
            'dbl_ovrd' => 0,
2086
            'init' => 0.0,
2087
            'init_bit_vector' => '0b',
2088
            'mdl_handle' => 3.0009765625,
2089
            'model_handle' => 3.0009765625,
2090
            'n_bits' => 1,
2091
            'ownership' => 2,
2092
            'period' => 8.0E-9,
2093
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2094
            'shared_memory_name' => 'register11rv',
2095
          },
2096
          'needs_vhdl_wrapper' => 0,
2097
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2098
        },
2099
        'entityName' => 'x_x75',
2100
        'ports' => {
2101
          'data_out' => {
2102
            'attributes' => {
2103
              'bin_pt' => 0,
2104
              'is_floating_block' => 1,
2105
              'must_be_hdl_vector' => 1,
2106
              'period' => 1.0,
2107
              'port_id' => '0',
2108
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22/data_out',
2109
              'type' => 'UFix_1_0',
2110
            },
2111
            'direction' => 'out',
2112
            'hdlType' => 'std_logic_vector(0 downto 0)',
2113
            'width' => 1,
2114
          },
2115
        },
2116
      },
2117
      'entityName' => 'x_x75',
2118
    },
2119
    'from_register23' => {
2120
      'connections' => { 'data_out' => 'from_register23_data_out_net', },
2121
      'entity' => {
2122
        'attributes' => {
2123
          'generics' => [],
2124
          'is_floating_block' => 1,
2125
          'mask' => {
2126
            'Block_Handle' => 25.0009765625,
2127
            'Block_handle' => 25.0009765625,
2128
            'MDL_Handle' => 3.0009765625,
2129
            'MDL_handle' => 3.0009765625,
2130
            'arith_type' => 2,
2131
            'bin_pt' => 0,
2132
            'block_config' => 'sysgen_blockset:fromreg_config',
2133
            'block_handle' => 25.0009765625,
2134
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2135
            'block_type' => 'fromreg',
2136
            'dbl_ovrd' => 0,
2137
            'init' => 0.0,
2138
            'init_bit_vector' => '00000000000000000000000000000000b',
2139
            'mdl_handle' => 3.0009765625,
2140
            'model_handle' => 3.0009765625,
2141
            'n_bits' => 32,
2142
            'ownership' => 2,
2143
            'period' => 8.0E-9,
2144
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2145
            'shared_memory_name' => 'register12rd',
2146
          },
2147
          'needs_vhdl_wrapper' => 0,
2148
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2149
        },
2150
        'entityName' => 'x_x76',
2151
        'ports' => {
2152
          'data_out' => {
2153
            'attributes' => {
2154
              'bin_pt' => 0,
2155
              'is_floating_block' => 1,
2156
              'must_be_hdl_vector' => 1,
2157
              'period' => 1.0,
2158
              'port_id' => '0',
2159
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23/data_out',
2160
              'type' => 'UFix_32_0',
2161
            },
2162
            'direction' => 'out',
2163
            'hdlType' => 'std_logic_vector(31 downto 0)',
2164
            'width' => 32,
2165
          },
2166
        },
2167
      },
2168
      'entityName' => 'x_x76',
2169
    },
2170
    'from_register24' => {
2171
      'connections' => { 'data_out' => 'from_register24_data_out_net', },
2172
      'entity' => {
2173
        'attributes' => {
2174
          'generics' => [],
2175
          'is_floating_block' => 1,
2176
          'mask' => {
2177
            'Block_Handle' => 26.0009765625,
2178
            'Block_handle' => 26.0009765625,
2179
            'MDL_Handle' => 3.0009765625,
2180
            'MDL_handle' => 3.0009765625,
2181
            'arith_type' => 2,
2182
            'bin_pt' => 0,
2183
            'block_config' => 'sysgen_blockset:fromreg_config',
2184
            'block_handle' => 26.0009765625,
2185
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2186
            'block_type' => 'fromreg',
2187
            'dbl_ovrd' => 0,
2188
            'init' => 0.0,
2189
            'init_bit_vector' => '0b',
2190
            'mdl_handle' => 3.0009765625,
2191
            'model_handle' => 3.0009765625,
2192
            'n_bits' => 1,
2193
            'ownership' => 2,
2194
            'period' => 8.0E-9,
2195
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2196
            'shared_memory_name' => 'register12rv',
2197
          },
2198
          'needs_vhdl_wrapper' => 0,
2199
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2200
        },
2201
        'entityName' => 'x_x77',
2202
        'ports' => {
2203
          'data_out' => {
2204
            'attributes' => {
2205
              'bin_pt' => 0,
2206
              'is_floating_block' => 1,
2207
              'must_be_hdl_vector' => 1,
2208
              'period' => 1.0,
2209
              'port_id' => '0',
2210
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24/data_out',
2211
              'type' => 'UFix_1_0',
2212
            },
2213
            'direction' => 'out',
2214
            'hdlType' => 'std_logic_vector(0 downto 0)',
2215
            'width' => 1,
2216
          },
2217
        },
2218
      },
2219
      'entityName' => 'x_x77',
2220
    },
2221
    'from_register25' => {
2222
      'connections' => { 'data_out' => 'from_register25_data_out_net', },
2223
      'entity' => {
2224
        'attributes' => {
2225
          'generics' => [],
2226
          'is_floating_block' => 1,
2227
          'mask' => {
2228
            'Block_Handle' => 27.0009765625,
2229
            'Block_handle' => 27.0009765625,
2230
            'MDL_Handle' => 3.0009765625,
2231
            'MDL_handle' => 3.0009765625,
2232
            'arith_type' => 2,
2233
            'bin_pt' => 0,
2234
            'block_config' => 'sysgen_blockset:fromreg_config',
2235
            'block_handle' => 27.0009765625,
2236
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2237
            'block_type' => 'fromreg',
2238
            'dbl_ovrd' => 0,
2239
            'init' => 0.0,
2240
            'init_bit_vector' => '00000000000000000000000000000000b',
2241
            'mdl_handle' => 3.0009765625,
2242
            'model_handle' => 3.0009765625,
2243
            'n_bits' => 32,
2244
            'ownership' => 2,
2245
            'period' => 8.0E-9,
2246
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2247
            'shared_memory_name' => 'register13rd',
2248
          },
2249
          'needs_vhdl_wrapper' => 0,
2250
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2251
        },
2252
        'entityName' => 'x_x78',
2253
        'ports' => {
2254
          'data_out' => {
2255
            'attributes' => {
2256
              'bin_pt' => 0,
2257
              'is_floating_block' => 1,
2258
              'must_be_hdl_vector' => 1,
2259
              'period' => 1.0,
2260
              'port_id' => '0',
2261
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25/data_out',
2262
              'type' => 'UFix_32_0',
2263
            },
2264
            'direction' => 'out',
2265
            'hdlType' => 'std_logic_vector(31 downto 0)',
2266
            'width' => 32,
2267
          },
2268
        },
2269
      },
2270
      'entityName' => 'x_x78',
2271
    },
2272
    'from_register26' => {
2273
      'connections' => { 'data_out' => 'from_register26_data_out_net', },
2274
      'entity' => {
2275
        'attributes' => {
2276
          'generics' => [],
2277
          'is_floating_block' => 1,
2278
          'mask' => {
2279
            'Block_Handle' => 28.0009765625,
2280
            'Block_handle' => 28.0009765625,
2281
            'MDL_Handle' => 3.0009765625,
2282
            'MDL_handle' => 3.0009765625,
2283
            'arith_type' => 2,
2284
            'bin_pt' => 0,
2285
            'block_config' => 'sysgen_blockset:fromreg_config',
2286
            'block_handle' => 28.0009765625,
2287
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2288
            'block_type' => 'fromreg',
2289
            'dbl_ovrd' => 0,
2290
            'init' => 0.0,
2291
            'init_bit_vector' => '0b',
2292
            'mdl_handle' => 3.0009765625,
2293
            'model_handle' => 3.0009765625,
2294
            'n_bits' => 1,
2295
            'ownership' => 2,
2296
            'period' => 8.0E-9,
2297
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2298
            'shared_memory_name' => 'register13rv',
2299
          },
2300
          'needs_vhdl_wrapper' => 0,
2301
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2302
        },
2303
        'entityName' => 'x_x79',
2304
        'ports' => {
2305
          'data_out' => {
2306
            'attributes' => {
2307
              'bin_pt' => 0,
2308
              'is_floating_block' => 1,
2309
              'must_be_hdl_vector' => 1,
2310
              'period' => 1.0,
2311
              'port_id' => '0',
2312
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26/data_out',
2313
              'type' => 'UFix_1_0',
2314
            },
2315
            'direction' => 'out',
2316
            'hdlType' => 'std_logic_vector(0 downto 0)',
2317
            'width' => 1,
2318
          },
2319
        },
2320
      },
2321
      'entityName' => 'x_x79',
2322
    },
2323
    'from_register27' => {
2324
      'connections' => { 'data_out' => 'from_register27_data_out_net', },
2325
      'entity' => {
2326
        'attributes' => {
2327
          'generics' => [],
2328
          'is_floating_block' => 1,
2329
          'mask' => {
2330
            'Block_Handle' => 29.0009765625,
2331
            'Block_handle' => 29.0009765625,
2332
            'MDL_Handle' => 3.0009765625,
2333
            'MDL_handle' => 3.0009765625,
2334
            'arith_type' => 2,
2335
            'bin_pt' => 0,
2336
            'block_config' => 'sysgen_blockset:fromreg_config',
2337
            'block_handle' => 29.0009765625,
2338
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2339
            'block_type' => 'fromreg',
2340
            'dbl_ovrd' => 0,
2341
            'init' => 0.0,
2342
            'init_bit_vector' => '00000000000000000000000000000000b',
2343
            'mdl_handle' => 3.0009765625,
2344
            'model_handle' => 3.0009765625,
2345
            'n_bits' => 32,
2346
            'ownership' => 2,
2347
            'period' => 8.0E-9,
2348
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2349
            'shared_memory_name' => 'register14rd',
2350
          },
2351
          'needs_vhdl_wrapper' => 0,
2352
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2353
        },
2354
        'entityName' => 'x_x80',
2355
        'ports' => {
2356
          'data_out' => {
2357
            'attributes' => {
2358
              'bin_pt' => 0,
2359
              'is_floating_block' => 1,
2360
              'must_be_hdl_vector' => 1,
2361
              'period' => 1.0,
2362
              'port_id' => '0',
2363
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27/data_out',
2364
              'type' => 'UFix_32_0',
2365
            },
2366
            'direction' => 'out',
2367
            'hdlType' => 'std_logic_vector(31 downto 0)',
2368
            'width' => 32,
2369
          },
2370
        },
2371
      },
2372
      'entityName' => 'x_x80',
2373
    },
2374
    'from_register28' => {
2375
      'connections' => { 'data_out' => 'from_register28_data_out_net', },
2376
      'entity' => {
2377
        'attributes' => {
2378
          'generics' => [],
2379
          'is_floating_block' => 1,
2380
          'mask' => {
2381
            'Block_Handle' => 30.0009765625,
2382
            'Block_handle' => 30.0009765625,
2383
            'MDL_Handle' => 3.0009765625,
2384
            'MDL_handle' => 3.0009765625,
2385
            'arith_type' => 2,
2386
            'bin_pt' => 0,
2387
            'block_config' => 'sysgen_blockset:fromreg_config',
2388
            'block_handle' => 30.0009765625,
2389
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2390
            'block_type' => 'fromreg',
2391
            'dbl_ovrd' => 0,
2392
            'init' => 0.0,
2393
            'init_bit_vector' => '0b',
2394
            'mdl_handle' => 3.0009765625,
2395
            'model_handle' => 3.0009765625,
2396
            'n_bits' => 1,
2397
            'ownership' => 2,
2398
            'period' => 8.0E-9,
2399
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2400
            'shared_memory_name' => 'register14rv',
2401
          },
2402
          'needs_vhdl_wrapper' => 0,
2403
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2404
        },
2405
        'entityName' => 'x_x81',
2406
        'ports' => {
2407
          'data_out' => {
2408
            'attributes' => {
2409
              'bin_pt' => 0,
2410
              'is_floating_block' => 1,
2411
              'must_be_hdl_vector' => 1,
2412
              'period' => 1.0,
2413
              'port_id' => '0',
2414
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28/data_out',
2415
              'type' => 'UFix_1_0',
2416
            },
2417
            'direction' => 'out',
2418
            'hdlType' => 'std_logic_vector(0 downto 0)',
2419
            'width' => 1,
2420
          },
2421
        },
2422
      },
2423
      'entityName' => 'x_x81',
2424
    },
2425
    'from_register3' => {
2426
      'connections' => { 'data_out' => 'from_register3_data_out_net', },
2427
      'entity' => {
2428
        'attributes' => {
2429
          'generics' => [],
2430
          'is_floating_block' => 1,
2431
          'mask' => {
2432
            'Block_Handle' => 31.0009765625,
2433
            'Block_handle' => 31.0009765625,
2434
            'MDL_Handle' => 3.0009765625,
2435
            'MDL_handle' => 3.0009765625,
2436
            'arith_type' => 2,
2437
            'bin_pt' => 0,
2438
            'block_config' => 'sysgen_blockset:fromreg_config',
2439
            'block_handle' => 31.0009765625,
2440
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2441
            'block_type' => 'fromreg',
2442
            'dbl_ovrd' => 0,
2443
            'init' => 0.0,
2444
            'init_bit_vector' => '00000000000000000000000000000000b',
2445
            'mdl_handle' => 3.0009765625,
2446
            'model_handle' => 3.0009765625,
2447
            'n_bits' => 32,
2448
            'ownership' => 2,
2449
            'period' => 8.0E-9,
2450
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2451
            'shared_memory_name' => 'register01rd',
2452
          },
2453
          'needs_vhdl_wrapper' => 0,
2454
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2455
        },
2456
        'entityName' => 'x_x82',
2457
        'ports' => {
2458
          'data_out' => {
2459
            'attributes' => {
2460
              'bin_pt' => 0,
2461
              'is_floating_block' => 1,
2462
              'must_be_hdl_vector' => 1,
2463
              'period' => 1.0,
2464
              'port_id' => '0',
2465
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3/data_out',
2466
              'type' => 'UFix_32_0',
2467
            },
2468
            'direction' => 'out',
2469
            'hdlType' => 'std_logic_vector(31 downto 0)',
2470
            'width' => 32,
2471
          },
2472
        },
2473
      },
2474
      'entityName' => 'x_x82',
2475
    },
2476
    'from_register4' => {
2477
      'connections' => { 'data_out' => 'from_register4_data_out_net', },
2478
      'entity' => {
2479
        'attributes' => {
2480
          'generics' => [],
2481
          'is_floating_block' => 1,
2482
          'mask' => {
2483
            'Block_Handle' => 32.0009765625,
2484
            'Block_handle' => 32.0009765625,
2485
            'MDL_Handle' => 3.0009765625,
2486
            'MDL_handle' => 3.0009765625,
2487
            'arith_type' => 2,
2488
            'bin_pt' => 0,
2489
            'block_config' => 'sysgen_blockset:fromreg_config',
2490
            'block_handle' => 32.0009765625,
2491
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2492
            'block_type' => 'fromreg',
2493
            'dbl_ovrd' => 0,
2494
            'init' => 0.0,
2495
            'init_bit_vector' => '0b',
2496
            'mdl_handle' => 3.0009765625,
2497
            'model_handle' => 3.0009765625,
2498
            'n_bits' => 1,
2499
            'ownership' => 2,
2500
            'period' => 8.0E-9,
2501
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2502
            'shared_memory_name' => 'register04rv',
2503
          },
2504
          'needs_vhdl_wrapper' => 0,
2505
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2506
        },
2507
        'entityName' => 'x_x83',
2508
        'ports' => {
2509
          'data_out' => {
2510
            'attributes' => {
2511
              'bin_pt' => 0,
2512
              'is_floating_block' => 1,
2513
              'must_be_hdl_vector' => 1,
2514
              'period' => 1.0,
2515
              'port_id' => '0',
2516
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4/data_out',
2517
              'type' => 'UFix_1_0',
2518
            },
2519
            'direction' => 'out',
2520
            'hdlType' => 'std_logic_vector(0 downto 0)',
2521
            'width' => 1,
2522
          },
2523
        },
2524
      },
2525
      'entityName' => 'x_x83',
2526
    },
2527
    'from_register5' => {
2528
      'connections' => { 'data_out' => 'from_register5_data_out_net', },
2529
      'entity' => {
2530
        'attributes' => {
2531
          'generics' => [],
2532
          'is_floating_block' => 1,
2533
          'mask' => {
2534
            'Block_Handle' => 33.0009765625,
2535
            'Block_handle' => 33.0009765625,
2536
            'MDL_Handle' => 3.0009765625,
2537
            'MDL_handle' => 3.0009765625,
2538
            'arith_type' => 2,
2539
            'bin_pt' => 0,
2540
            'block_config' => 'sysgen_blockset:fromreg_config',
2541
            'block_handle' => 33.0009765625,
2542
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2543
            'block_type' => 'fromreg',
2544
            'dbl_ovrd' => 0,
2545
            'init' => 0.0,
2546
            'init_bit_vector' => '00000000000000000000000000000000b',
2547
            'mdl_handle' => 3.0009765625,
2548
            'model_handle' => 3.0009765625,
2549
            'n_bits' => 32,
2550
            'ownership' => 2,
2551
            'period' => 8.0E-9,
2552
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2553
            'shared_memory_name' => 'register02rd',
2554
          },
2555
          'needs_vhdl_wrapper' => 0,
2556
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2557
        },
2558
        'entityName' => 'x_x84',
2559
        'ports' => {
2560
          'data_out' => {
2561
            'attributes' => {
2562
              'bin_pt' => 0,
2563
              'is_floating_block' => 1,
2564
              'must_be_hdl_vector' => 1,
2565
              'period' => 1.0,
2566
              'port_id' => '0',
2567
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5/data_out',
2568
              'type' => 'UFix_32_0',
2569
            },
2570
            'direction' => 'out',
2571
            'hdlType' => 'std_logic_vector(31 downto 0)',
2572
            'width' => 32,
2573
          },
2574
        },
2575
      },
2576
      'entityName' => 'x_x84',
2577
    },
2578
    'from_register6' => {
2579
      'connections' => { 'data_out' => 'from_register6_data_out_net', },
2580
      'entity' => {
2581
        'attributes' => {
2582
          'generics' => [],
2583
          'is_floating_block' => 1,
2584
          'mask' => {
2585
            'Block_Handle' => 34.0009765625,
2586
            'Block_handle' => 34.0009765625,
2587
            'MDL_Handle' => 3.0009765625,
2588
            'MDL_handle' => 3.0009765625,
2589
            'arith_type' => 2,
2590
            'bin_pt' => 0,
2591
            'block_config' => 'sysgen_blockset:fromreg_config',
2592
            'block_handle' => 34.0009765625,
2593
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2594
            'block_type' => 'fromreg',
2595
            'dbl_ovrd' => 0,
2596
            'init' => 0.0,
2597
            'init_bit_vector' => '0b',
2598
            'mdl_handle' => 3.0009765625,
2599
            'model_handle' => 3.0009765625,
2600
            'n_bits' => 1,
2601
            'ownership' => 2,
2602
            'period' => 8.0E-9,
2603
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2604
            'shared_memory_name' => 'register03rv',
2605
          },
2606
          'needs_vhdl_wrapper' => 0,
2607
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2608
        },
2609
        'entityName' => 'x_x85',
2610
        'ports' => {
2611
          'data_out' => {
2612
            'attributes' => {
2613
              'bin_pt' => 0,
2614
              'is_floating_block' => 1,
2615
              'must_be_hdl_vector' => 1,
2616
              'period' => 1.0,
2617
              'port_id' => '0',
2618
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6/data_out',
2619
              'type' => 'UFix_1_0',
2620
            },
2621
            'direction' => 'out',
2622
            'hdlType' => 'std_logic_vector(0 downto 0)',
2623
            'width' => 1,
2624
          },
2625
        },
2626
      },
2627
      'entityName' => 'x_x85',
2628
    },
2629
    'from_register7' => {
2630
      'connections' => { 'data_out' => 'from_register7_data_out_net', },
2631
      'entity' => {
2632
        'attributes' => {
2633
          'generics' => [],
2634
          'is_floating_block' => 1,
2635
          'mask' => {
2636
            'Block_Handle' => 35.0009765625,
2637
            'Block_handle' => 35.0009765625,
2638
            'MDL_Handle' => 3.0009765625,
2639
            'MDL_handle' => 3.0009765625,
2640
            'arith_type' => 2,
2641
            'bin_pt' => 0,
2642
            'block_config' => 'sysgen_blockset:fromreg_config',
2643
            'block_handle' => 35.0009765625,
2644
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2645
            'block_type' => 'fromreg',
2646
            'dbl_ovrd' => 0,
2647
            'init' => 0.0,
2648
            'init_bit_vector' => '00000000000000000000000000000000b',
2649
            'mdl_handle' => 3.0009765625,
2650
            'model_handle' => 3.0009765625,
2651
            'n_bits' => 32,
2652
            'ownership' => 2,
2653
            'period' => 8.0E-9,
2654
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2655
            'shared_memory_name' => 'register03rd',
2656
          },
2657
          'needs_vhdl_wrapper' => 0,
2658
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2659
        },
2660
        'entityName' => 'x_x86',
2661
        'ports' => {
2662
          'data_out' => {
2663
            'attributes' => {
2664
              'bin_pt' => 0,
2665
              'is_floating_block' => 1,
2666
              'must_be_hdl_vector' => 1,
2667
              'period' => 1.0,
2668
              'port_id' => '0',
2669
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7/data_out',
2670
              'type' => 'UFix_32_0',
2671
            },
2672
            'direction' => 'out',
2673
            'hdlType' => 'std_logic_vector(31 downto 0)',
2674
            'width' => 32,
2675
          },
2676
        },
2677
      },
2678
      'entityName' => 'x_x86',
2679
    },
2680
    'from_register8' => {
2681
      'connections' => { 'data_out' => 'from_register8_data_out_net', },
2682
      'entity' => {
2683
        'attributes' => {
2684
          'generics' => [],
2685
          'is_floating_block' => 1,
2686
          'mask' => {
2687
            'Block_Handle' => 36.0009765625,
2688
            'Block_handle' => 36.0009765625,
2689
            'MDL_Handle' => 3.0009765625,
2690
            'MDL_handle' => 3.0009765625,
2691
            'arith_type' => 2,
2692
            'bin_pt' => 0,
2693
            'block_config' => 'sysgen_blockset:fromreg_config',
2694
            'block_handle' => 36.0009765625,
2695
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2696
            'block_type' => 'fromreg',
2697
            'dbl_ovrd' => 0,
2698
            'init' => 0.0,
2699
            'init_bit_vector' => '00000000000000000000000000000000b',
2700
            'mdl_handle' => 3.0009765625,
2701
            'model_handle' => 3.0009765625,
2702
            'n_bits' => 32,
2703
            'ownership' => 2,
2704
            'period' => 8.0E-9,
2705
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2706
            'shared_memory_name' => 'register04rd',
2707
          },
2708
          'needs_vhdl_wrapper' => 0,
2709
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2710
        },
2711
        'entityName' => 'x_x87',
2712
        'ports' => {
2713
          'data_out' => {
2714
            'attributes' => {
2715
              'bin_pt' => 0,
2716
              'is_floating_block' => 1,
2717
              'must_be_hdl_vector' => 1,
2718
              'period' => 1.0,
2719
              'port_id' => '0',
2720
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8/data_out',
2721
              'type' => 'UFix_32_0',
2722
            },
2723
            'direction' => 'out',
2724
            'hdlType' => 'std_logic_vector(31 downto 0)',
2725
            'width' => 32,
2726
          },
2727
        },
2728
      },
2729
      'entityName' => 'x_x87',
2730
    },
2731
    'from_register9' => {
2732
      'connections' => { 'data_out' => 'from_register9_data_out_net', },
2733
      'entity' => {
2734
        'attributes' => {
2735
          'generics' => [],
2736
          'is_floating_block' => 1,
2737
          'mask' => {
2738
            'Block_Handle' => 37.0009765625,
2739
            'Block_handle' => 37.0009765625,
2740
            'MDL_Handle' => 3.0009765625,
2741
            'MDL_handle' => 3.0009765625,
2742
            'arith_type' => 2,
2743
            'bin_pt' => 0,
2744
            'block_config' => 'sysgen_blockset:fromreg_config',
2745
            'block_handle' => 37.0009765625,
2746
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2747
            'block_type' => 'fromreg',
2748
            'dbl_ovrd' => 0,
2749
            'init' => 0.0,
2750
            'init_bit_vector' => '0b',
2751
            'mdl_handle' => 3.0009765625,
2752
            'model_handle' => 3.0009765625,
2753
            'n_bits' => 1,
2754
            'ownership' => 2,
2755
            'period' => 8.0E-9,
2756
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2757
            'shared_memory_name' => 'register05rv',
2758
          },
2759
          'needs_vhdl_wrapper' => 0,
2760
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2761
        },
2762
        'entityName' => 'x_x88',
2763
        'ports' => {
2764
          'data_out' => {
2765
            'attributes' => {
2766
              'bin_pt' => 0,
2767
              'is_floating_block' => 1,
2768
              'must_be_hdl_vector' => 1,
2769
              'period' => 1.0,
2770
              'port_id' => '0',
2771
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9/data_out',
2772
              'type' => 'UFix_1_0',
2773
            },
2774
            'direction' => 'out',
2775
            'hdlType' => 'std_logic_vector(0 downto 0)',
2776
            'width' => 1,
2777
          },
2778
        },
2779
      },
2780
      'entityName' => 'x_x88',
2781
    },
2782
    'inout_logic' => {
2783
      'connections' => {
2784
        'data_in' => 'debug_in_2i_net_x0',
2785
        'data_in_x0' => 'reg04_tv_net_x0',
2786
        'data_in_x1' => 'reg04_td_net_x0',
2787
        'data_in_x10' => 'debug_in_3i_net_x0',
2788
        'data_in_x11' => 'debug_in_4i_net_x0',
2789
        'data_in_x12' => 'reg09_tv_net_x0',
2790
        'data_in_x13' => 'reg09_td_net_x0',
2791
        'data_in_x14' => 'reg10_tv_net_x0',
2792
        'data_in_x15' => 'reg10_td_net_x0',
2793
        'data_in_x16' => 'reg08_tv_net_x0',
2794
        'data_in_x17' => 'reg08_td_net_x0',
2795
        'data_in_x18' => 'reg11_tv_net_x0',
2796
        'data_in_x19' => 'reg11_td_net_x0',
2797
        'data_in_x2' => 'reg05_tv_net_x0',
2798
        'data_in_x20' => 'reg12_tv_net_x0',
2799
        'data_in_x21' => 'reg01_tv_net_x0',
2800
        'data_in_x22' => 'reg12_td_net_x0',
2801
        'data_in_x23' => 'reg13_tv_net_x0',
2802
        'data_in_x24' => 'reg13_td_net_x0',
2803
        'data_in_x25' => 'reg14_tv_net_x0',
2804
        'data_in_x26' => 'reg14_td_net_x0',
2805
        'data_in_x27' => 'reg02_tv_net_x0',
2806
        'data_in_x28' => 'reg02_td_net_x0',
2807
        'data_in_x29' => 'debug_in_1i_net_x0',
2808
        'data_in_x3' => 'reg05_td_net_x0',
2809
        'data_in_x30' => 'reg01_td_net_x0',
2810
        'data_in_x31' => 'reg03_tv_net_x0',
2811
        'data_in_x32' => 'reg03_td_net_x0',
2812
        'data_in_x4' => 'reg06_tv_net_x0',
2813
        'data_in_x5' => 'reg06_td_net_x0',
2814
        'data_in_x6' => 'reg07_tv_net_x0',
2815
        'data_in_x7' => 'reg07_td_net_x0',
2816
        'data_in_x8' => 'dma_host2board_busy_net_x0',
2817
        'data_in_x9' => 'dma_host2board_done_net_x0',
2818
        'data_out' => 'from_register1_data_out_net',
2819
        'data_out_x0' => 'from_register10_data_out_net',
2820
        'data_out_x1' => 'from_register11_data_out_net',
2821
        'data_out_x10' => 'from_register2_data_out_net',
2822
        'data_out_x11' => 'from_register20_data_out_net',
2823
        'data_out_x12' => 'from_register21_data_out_net',
2824
        'data_out_x13' => 'from_register22_data_out_net',
2825
        'data_out_x14' => 'from_register23_data_out_net',
2826
        'data_out_x15' => 'from_register24_data_out_net',
2827
        'data_out_x16' => 'from_register25_data_out_net',
2828
        'data_out_x17' => 'from_register26_data_out_net',
2829
        'data_out_x18' => 'from_register27_data_out_net',
2830
        'data_out_x19' => 'from_register28_data_out_net',
2831
        'data_out_x2' => 'from_register12_data_out_net',
2832
        'data_out_x20' => 'from_register3_data_out_net',
2833
        'data_out_x21' => 'from_register4_data_out_net',
2834
        'data_out_x22' => 'from_register5_data_out_net',
2835
        'data_out_x23' => 'from_register6_data_out_net',
2836
        'data_out_x24' => 'from_register7_data_out_net',
2837
        'data_out_x25' => 'from_register8_data_out_net',
2838
        'data_out_x26' => 'from_register9_data_out_net',
2839
        'data_out_x3' => 'from_register13_data_out_net',
2840
        'data_out_x4' => 'from_register14_data_out_net',
2841
        'data_out_x5' => 'from_register15_data_out_net',
2842
        'data_out_x6' => 'from_register16_data_out_net',
2843
        'data_out_x7' => 'from_register17_data_out_net',
2844
        'data_out_x8' => 'from_register18_data_out_net',
2845
        'data_out_x9' => 'from_register19_data_out_net',
2846
        'debug_in_1i' => 'debug_in_1i_net',
2847
        'debug_in_2i' => 'debug_in_2i_net',
2848
        'debug_in_3i' => 'debug_in_3i_net',
2849
        'debug_in_4i' => 'debug_in_4i_net',
2850
        'dma_host2board_busy' => 'dma_host2board_busy_net',
2851
        'dma_host2board_done' => 'dma_host2board_done_net',
2852
        'en' => 'constant5_op_net_x0',
2853
        'en_x0' => 'constant5_op_net_x1',
2854
        'en_x1' => 'constant5_op_net_x2',
2855
        'en_x10' => 'constant5_op_net_x11',
2856
        'en_x11' => 'constant5_op_net_x12',
2857
        'en_x12' => 'constant1_op_net_x0',
2858
        'en_x13' => 'constant1_op_net_x1',
2859
        'en_x14' => 'constant1_op_net_x2',
2860
        'en_x15' => 'constant1_op_net_x3',
2861
        'en_x16' => 'constant1_op_net_x4',
2862
        'en_x17' => 'constant1_op_net_x5',
2863
        'en_x18' => 'constant1_op_net_x6',
2864
        'en_x19' => 'constant1_op_net_x7',
2865
        'en_x2' => 'constant5_op_net_x3',
2866
        'en_x20' => 'constant1_op_net_x8',
2867
        'en_x21' => 'constant5_op_net_x13',
2868
        'en_x22' => 'constant1_op_net_x9',
2869
        'en_x23' => 'constant1_op_net_x10',
2870
        'en_x24' => 'constant1_op_net_x11',
2871
        'en_x25' => 'constant1_op_net_x12',
2872
        'en_x26' => 'constant1_op_net_x13',
2873
        'en_x27' => 'constant5_op_net_x14',
2874
        'en_x28' => 'constant5_op_net_x15',
2875
        'en_x29' => 'constant5_op_net_x16',
2876
        'en_x3' => 'constant5_op_net_x4',
2877
        'en_x30' => 'constant5_op_net_x17',
2878
        'en_x31' => 'constant5_op_net_x18',
2879
        'en_x32' => 'constant5_op_net_x19',
2880
        'en_x4' => 'constant5_op_net_x5',
2881
        'en_x5' => 'constant5_op_net_x6',
2882
        'en_x6' => 'constant5_op_net_x7',
2883
        'en_x7' => 'constant5_op_net_x8',
2884
        'en_x8' => 'constant5_op_net_x9',
2885
        'en_x9' => 'constant5_op_net_x10',
2886
        'reg01_rd' => 'from_register3_data_out_net_x0',
2887
        'reg01_rv' => 'from_register1_data_out_net_x0',
2888
        'reg01_td' => 'reg01_td_net',
2889
        'reg01_tv' => 'reg01_tv_net',
2890
        'reg02_rd' => 'from_register5_data_out_net_x0',
2891
        'reg02_rv' => 'from_register2_data_out_net_x0',
2892
        'reg02_td' => 'reg02_td_net',
2893
        'reg02_tv' => 'reg02_tv_net',
2894
        'reg03_rd' => 'from_register7_data_out_net_x0',
2895
        'reg03_rv' => 'from_register6_data_out_net_x0',
2896
        'reg03_td' => 'reg03_td_net',
2897
        'reg03_tv' => 'reg03_tv_net',
2898
        'reg04_rd' => 'from_register8_data_out_net_x0',
2899
        'reg04_rv' => 'from_register4_data_out_net_x0',
2900
        'reg04_td' => 'reg04_td_net',
2901
        'reg04_tv' => 'reg04_tv_net',
2902
        'reg05_rd' => 'from_register10_data_out_net_x0',
2903
        'reg05_rv' => 'from_register9_data_out_net_x0',
2904
        'reg05_td' => 'reg05_td_net',
2905
        'reg05_tv' => 'reg05_tv_net',
2906
        'reg06_rd' => 'from_register11_data_out_net_x0',
2907
        'reg06_rv' => 'from_register12_data_out_net_x0',
2908
        'reg06_td' => 'reg06_td_net',
2909
        'reg06_tv' => 'reg06_tv_net',
2910
        'reg07_rd' => 'from_register13_data_out_net_x0',
2911
        'reg07_rv' => 'from_register14_data_out_net_x0',
2912
        'reg07_td' => 'reg07_td_net',
2913
        'reg07_tv' => 'reg07_tv_net',
2914
        'reg08_rd' => 'from_register15_data_out_net_x0',
2915
        'reg08_rv' => 'from_register16_data_out_net_x0',
2916
        'reg08_td' => 'reg08_td_net',
2917
        'reg08_tv' => 'reg08_tv_net',
2918
        'reg09_rd' => 'from_register17_data_out_net_x0',
2919
        'reg09_rv' => 'from_register18_data_out_net_x0',
2920
        'reg09_td' => 'reg09_td_net',
2921
        'reg09_tv' => 'reg09_tv_net',
2922
        'reg10_rd' => 'from_register19_data_out_net_x0',
2923
        'reg10_rv' => 'from_register20_data_out_net_x0',
2924
        'reg10_td' => 'reg10_td_net',
2925
        'reg10_tv' => 'reg10_tv_net',
2926
        'reg11_rd' => 'from_register21_data_out_net_x0',
2927
        'reg11_rv' => 'from_register22_data_out_net_x0',
2928
        'reg11_td' => 'reg11_td_net',
2929
        'reg11_tv' => 'reg11_tv_net',
2930
        'reg12_rd' => 'from_register23_data_out_net_x0',
2931
        'reg12_rv' => 'from_register24_data_out_net_x0',
2932
        'reg12_td' => 'reg12_td_net',
2933
        'reg12_tv' => 'reg12_tv_net',
2934
        'reg13_rd' => 'from_register25_data_out_net_x0',
2935
        'reg13_rv' => 'from_register26_data_out_net_x0',
2936
        'reg13_td' => 'reg13_td_net',
2937
        'reg13_tv' => 'reg13_tv_net',
2938
        'reg14_rd' => 'from_register27_data_out_net_x0',
2939
        'reg14_rv' => 'from_register28_data_out_net_x0',
2940
        'reg14_td' => 'reg14_td_net',
2941
        'reg14_tv' => 'reg14_tv_net',
2942
      },
2943
      'entity' => {
2944
        'attributes' => {
2945
          'entityAlreadyNetlisted' => 1,
2946
          'hdlKind' => 'vhdl',
2947
          'isDesign' => 1,
2948
          'simulinkName' => 'INOUT_LOGIC',
2949
        },
2950
        'entityName' => 'inout_logic',
2951
        'ports' => {
2952
          'data_in' => {
2953
            'attributes' => {
2954
              'bin_pt' => 0,
2955
              'is_floating_block' => 1,
2956
              'must_be_hdl_vector' => 1,
2957
              'period' => 1.0,
2958
              'port_id' => '0',
2959
              'simulinkName' => 'INOUT_LOGIC/data_in',
2960
              'type' => 'UFix_32_0',
2961
            },
2962
            'direction' => 'out',
2963
            'hdlType' => 'std_logic_vector(31 downto 0)',
2964
            'width' => 32,
2965
          },
2966
          'data_in_x0' => {
2967
            'attributes' => {
2968
              'bin_pt' => 0,
2969
              'is_floating_block' => 1,
2970
              'must_be_hdl_vector' => 1,
2971
              'period' => 1.0,
2972
              'port_id' => '0',
2973
              'simulinkName' => 'INOUT_LOGIC/data_in',
2974
              'type' => 'Bool',
2975
            },
2976
            'direction' => 'out',
2977
            'hdlType' => 'std_logic',
2978
            'width' => 1,
2979
          },
2980
          'data_in_x1' => {
2981
            'attributes' => {
2982
              'bin_pt' => 0,
2983
              'is_floating_block' => 1,
2984
              'must_be_hdl_vector' => 1,
2985
              'period' => 1.0,
2986
              'port_id' => '0',
2987
              'simulinkName' => 'INOUT_LOGIC/data_in',
2988
              'type' => 'UFix_32_0',
2989
            },
2990
            'direction' => 'out',
2991
            'hdlType' => 'std_logic_vector(31 downto 0)',
2992
            'width' => 32,
2993
          },
2994
          'data_in_x10' => {
2995
            'attributes' => {
2996
              'bin_pt' => 0,
2997
              'is_floating_block' => 1,
2998
              'must_be_hdl_vector' => 1,
2999
              'period' => 1.0,
3000
              'port_id' => '0',
3001
              'simulinkName' => 'INOUT_LOGIC/data_in',
3002
              'type' => 'UFix_32_0',
3003
            },
3004
            'direction' => 'out',
3005
            'hdlType' => 'std_logic_vector(31 downto 0)',
3006
            'width' => 32,
3007
          },
3008
          'data_in_x11' => {
3009
            'attributes' => {
3010
              'bin_pt' => 0,
3011
              'is_floating_block' => 1,
3012
              'must_be_hdl_vector' => 1,
3013
              'period' => 1.0,
3014
              'port_id' => '0',
3015
              'simulinkName' => 'INOUT_LOGIC/data_in',
3016
              'type' => 'UFix_32_0',
3017
            },
3018
            'direction' => 'out',
3019
            'hdlType' => 'std_logic_vector(31 downto 0)',
3020
            'width' => 32,
3021
          },
3022
          'data_in_x12' => {
3023
            'attributes' => {
3024
              'bin_pt' => 0,
3025
              'is_floating_block' => 1,
3026
              'must_be_hdl_vector' => 1,
3027
              'period' => 1.0,
3028
              'port_id' => '0',
3029
              'simulinkName' => 'INOUT_LOGIC/data_in',
3030
              'type' => 'Bool',
3031
            },
3032
            'direction' => 'out',
3033
            'hdlType' => 'std_logic',
3034
            'width' => 1,
3035
          },
3036
          'data_in_x13' => {
3037
            'attributes' => {
3038
              'bin_pt' => 0,
3039
              'is_floating_block' => 1,
3040
              'must_be_hdl_vector' => 1,
3041
              'period' => 1.0,
3042
              'port_id' => '0',
3043
              'simulinkName' => 'INOUT_LOGIC/data_in',
3044
              'type' => 'UFix_32_0',
3045
            },
3046
            'direction' => 'out',
3047
            'hdlType' => 'std_logic_vector(31 downto 0)',
3048
            'width' => 32,
3049
          },
3050
          'data_in_x14' => {
3051
            'attributes' => {
3052
              'bin_pt' => 0,
3053
              'is_floating_block' => 1,
3054
              'must_be_hdl_vector' => 1,
3055
              'period' => 1.0,
3056
              'port_id' => '0',
3057
              'simulinkName' => 'INOUT_LOGIC/data_in',
3058
              'type' => 'Bool',
3059
            },
3060
            'direction' => 'out',
3061
            'hdlType' => 'std_logic',
3062
            'width' => 1,
3063
          },
3064
          'data_in_x15' => {
3065
            'attributes' => {
3066
              'bin_pt' => 0,
3067
              'is_floating_block' => 1,
3068
              'must_be_hdl_vector' => 1,
3069
              'period' => 1.0,
3070
              'port_id' => '0',
3071
              'simulinkName' => 'INOUT_LOGIC/data_in',
3072
              'type' => 'UFix_32_0',
3073
            },
3074
            'direction' => 'out',
3075
            'hdlType' => 'std_logic_vector(31 downto 0)',
3076
            'width' => 32,
3077
          },
3078
          'data_in_x16' => {
3079
            'attributes' => {
3080
              'bin_pt' => 0,
3081
              'is_floating_block' => 1,
3082
              'must_be_hdl_vector' => 1,
3083
              'period' => 1.0,
3084
              'port_id' => '0',
3085
              'simulinkName' => 'INOUT_LOGIC/data_in',
3086
              'type' => 'Bool',
3087
            },
3088
            'direction' => 'out',
3089
            'hdlType' => 'std_logic',
3090
            'width' => 1,
3091
          },
3092
          'data_in_x17' => {
3093
            'attributes' => {
3094
              'bin_pt' => 0,
3095
              'is_floating_block' => 1,
3096
              'must_be_hdl_vector' => 1,
3097
              'period' => 1.0,
3098
              'port_id' => '0',
3099
              'simulinkName' => 'INOUT_LOGIC/data_in',
3100
              'type' => 'UFix_32_0',
3101
            },
3102
            'direction' => 'out',
3103
            'hdlType' => 'std_logic_vector(31 downto 0)',
3104
            'width' => 32,
3105
          },
3106
          'data_in_x18' => {
3107
            'attributes' => {
3108
              'bin_pt' => 0,
3109
              'is_floating_block' => 1,
3110
              'must_be_hdl_vector' => 1,
3111
              'period' => 1.0,
3112
              'port_id' => '0',
3113
              'simulinkName' => 'INOUT_LOGIC/data_in',
3114
              'type' => 'Bool',
3115
            },
3116
            'direction' => 'out',
3117
            'hdlType' => 'std_logic',
3118
            'width' => 1,
3119
          },
3120
          'data_in_x19' => {
3121
            'attributes' => {
3122
              'bin_pt' => 0,
3123
              'is_floating_block' => 1,
3124
              'must_be_hdl_vector' => 1,
3125
              'period' => 1.0,
3126
              'port_id' => '0',
3127
              'simulinkName' => 'INOUT_LOGIC/data_in',
3128
              'type' => 'UFix_32_0',
3129
            },
3130
            'direction' => 'out',
3131
            'hdlType' => 'std_logic_vector(31 downto 0)',
3132
            'width' => 32,
3133
          },
3134
          'data_in_x2' => {
3135
            'attributes' => {
3136
              'bin_pt' => 0,
3137
              'is_floating_block' => 1,
3138
              'must_be_hdl_vector' => 1,
3139
              'period' => 1.0,
3140
              'port_id' => '0',
3141
              'simulinkName' => 'INOUT_LOGIC/data_in',
3142
              'type' => 'Bool',
3143
            },
3144
            'direction' => 'out',
3145
            'hdlType' => 'std_logic',
3146
            'width' => 1,
3147
          },
3148
          'data_in_x20' => {
3149
            'attributes' => {
3150
              'bin_pt' => 0,
3151
              'is_floating_block' => 1,
3152
              'must_be_hdl_vector' => 1,
3153
              'period' => 1.0,
3154
              'port_id' => '0',
3155
              'simulinkName' => 'INOUT_LOGIC/data_in',
3156
              'type' => 'Bool',
3157
            },
3158
            'direction' => 'out',
3159
            'hdlType' => 'std_logic',
3160
            'width' => 1,
3161
          },
3162
          'data_in_x21' => {
3163
            'attributes' => {
3164
              'bin_pt' => 0,
3165
              'is_floating_block' => 1,
3166
              'must_be_hdl_vector' => 1,
3167
              'period' => 1.0,
3168
              'port_id' => '0',
3169
              'simulinkName' => 'INOUT_LOGIC/data_in',
3170
              'type' => 'Bool',
3171
            },
3172
            'direction' => 'out',
3173
            'hdlType' => 'std_logic',
3174
            'width' => 1,
3175
          },
3176
          'data_in_x22' => {
3177
            'attributes' => {
3178
              'bin_pt' => 0,
3179
              'is_floating_block' => 1,
3180
              'must_be_hdl_vector' => 1,
3181
              'period' => 1.0,
3182
              'port_id' => '0',
3183
              'simulinkName' => 'INOUT_LOGIC/data_in',
3184
              'type' => 'UFix_32_0',
3185
            },
3186
            'direction' => 'out',
3187
            'hdlType' => 'std_logic_vector(31 downto 0)',
3188
            'width' => 32,
3189
          },
3190
          'data_in_x23' => {
3191
            'attributes' => {
3192
              'bin_pt' => 0,
3193
              'is_floating_block' => 1,
3194
              'must_be_hdl_vector' => 1,
3195
              'period' => 1.0,
3196
              'port_id' => '0',
3197
              'simulinkName' => 'INOUT_LOGIC/data_in',
3198
              'type' => 'Bool',
3199
            },
3200
            'direction' => 'out',
3201
            'hdlType' => 'std_logic',
3202
            'width' => 1,
3203
          },
3204
          'data_in_x24' => {
3205
            'attributes' => {
3206
              'bin_pt' => 0,
3207
              'is_floating_block' => 1,
3208
              'must_be_hdl_vector' => 1,
3209
              'period' => 1.0,
3210
              'port_id' => '0',
3211
              'simulinkName' => 'INOUT_LOGIC/data_in',
3212
              'type' => 'UFix_32_0',
3213
            },
3214
            'direction' => 'out',
3215
            'hdlType' => 'std_logic_vector(31 downto 0)',
3216
            'width' => 32,
3217
          },
3218
          'data_in_x25' => {
3219
            'attributes' => {
3220
              'bin_pt' => 0,
3221
              'is_floating_block' => 1,
3222
              'must_be_hdl_vector' => 1,
3223
              'period' => 1.0,
3224
              'port_id' => '0',
3225
              'simulinkName' => 'INOUT_LOGIC/data_in',
3226
              'type' => 'Bool',
3227
            },
3228
            'direction' => 'out',
3229
            'hdlType' => 'std_logic',
3230
            'width' => 1,
3231
          },
3232
          'data_in_x26' => {
3233
            'attributes' => {
3234
              'bin_pt' => 0,
3235
              'is_floating_block' => 1,
3236
              'must_be_hdl_vector' => 1,
3237
              'period' => 1.0,
3238
              'port_id' => '0',
3239
              'simulinkName' => 'INOUT_LOGIC/data_in',
3240
              'type' => 'UFix_32_0',
3241
            },
3242
            'direction' => 'out',
3243
            'hdlType' => 'std_logic_vector(31 downto 0)',
3244
            'width' => 32,
3245
          },
3246
          'data_in_x27' => {
3247
            'attributes' => {
3248
              'bin_pt' => 0,
3249
              'is_floating_block' => 1,
3250
              'must_be_hdl_vector' => 1,
3251
              'period' => 1.0,
3252
              'port_id' => '0',
3253
              'simulinkName' => 'INOUT_LOGIC/data_in',
3254
              'type' => 'Bool',
3255
            },
3256
            'direction' => 'out',
3257
            'hdlType' => 'std_logic',
3258
            'width' => 1,
3259
          },
3260
          'data_in_x28' => {
3261
            'attributes' => {
3262
              'bin_pt' => 0,
3263
              'is_floating_block' => 1,
3264
              'must_be_hdl_vector' => 1,
3265
              'period' => 1.0,
3266
              'port_id' => '0',
3267
              'simulinkName' => 'INOUT_LOGIC/data_in',
3268
              'type' => 'UFix_32_0',
3269
            },
3270
            'direction' => 'out',
3271
            'hdlType' => 'std_logic_vector(31 downto 0)',
3272
            'width' => 32,
3273
          },
3274
          'data_in_x29' => {
3275
            'attributes' => {
3276
              'bin_pt' => 0,
3277
              'is_floating_block' => 1,
3278
              'must_be_hdl_vector' => 1,
3279
              'period' => 1.0,
3280
              'port_id' => '0',
3281
              'simulinkName' => 'INOUT_LOGIC/data_in',
3282
              'type' => 'UFix_32_0',
3283
            },
3284
            'direction' => 'out',
3285
            'hdlType' => 'std_logic_vector(31 downto 0)',
3286
            'width' => 32,
3287
          },
3288
          'data_in_x3' => {
3289
            'attributes' => {
3290
              'bin_pt' => 0,
3291
              'is_floating_block' => 1,
3292
              'must_be_hdl_vector' => 1,
3293
              'period' => 1.0,
3294
              'port_id' => '0',
3295
              'simulinkName' => 'INOUT_LOGIC/data_in',
3296
              'type' => 'UFix_32_0',
3297
            },
3298
            'direction' => 'out',
3299
            'hdlType' => 'std_logic_vector(31 downto 0)',
3300
            'width' => 32,
3301
          },
3302
          'data_in_x30' => {
3303
            'attributes' => {
3304
              'bin_pt' => 0,
3305
              'is_floating_block' => 1,
3306
              'must_be_hdl_vector' => 1,
3307
              'period' => 1.0,
3308
              'port_id' => '0',
3309
              'simulinkName' => 'INOUT_LOGIC/data_in',
3310
              'type' => 'UFix_32_0',
3311
            },
3312
            'direction' => 'out',
3313
            'hdlType' => 'std_logic_vector(31 downto 0)',
3314
            'width' => 32,
3315
          },
3316
          'data_in_x31' => {
3317
            'attributes' => {
3318
              'bin_pt' => 0,
3319
              'is_floating_block' => 1,
3320
              'must_be_hdl_vector' => 1,
3321
              'period' => 1.0,
3322
              'port_id' => '0',
3323
              'simulinkName' => 'INOUT_LOGIC/data_in',
3324
              'type' => 'Bool',
3325
            },
3326
            'direction' => 'out',
3327
            'hdlType' => 'std_logic',
3328
            'width' => 1,
3329
          },
3330
          'data_in_x32' => {
3331
            'attributes' => {
3332
              'bin_pt' => 0,
3333
              'is_floating_block' => 1,
3334
              'must_be_hdl_vector' => 1,
3335
              'period' => 1.0,
3336
              'port_id' => '0',
3337
              'simulinkName' => 'INOUT_LOGIC/data_in',
3338
              'type' => 'UFix_32_0',
3339
            },
3340
            'direction' => 'out',
3341
            'hdlType' => 'std_logic_vector(31 downto 0)',
3342
            'width' => 32,
3343
          },
3344
          'data_in_x4' => {
3345
            'attributes' => {
3346
              'bin_pt' => 0,
3347
              'is_floating_block' => 1,
3348
              'must_be_hdl_vector' => 1,
3349
              'period' => 1.0,
3350
              'port_id' => '0',
3351
              'simulinkName' => 'INOUT_LOGIC/data_in',
3352
              'type' => 'Bool',
3353
            },
3354
            'direction' => 'out',
3355
            'hdlType' => 'std_logic',
3356
            'width' => 1,
3357
          },
3358
          'data_in_x5' => {
3359
            'attributes' => {
3360
              'bin_pt' => 0,
3361
              'is_floating_block' => 1,
3362
              'must_be_hdl_vector' => 1,
3363
              'period' => 1.0,
3364
              'port_id' => '0',
3365
              'simulinkName' => 'INOUT_LOGIC/data_in',
3366
              'type' => 'UFix_32_0',
3367
            },
3368
            'direction' => 'out',
3369
            'hdlType' => 'std_logic_vector(31 downto 0)',
3370
            'width' => 32,
3371
          },
3372
          'data_in_x6' => {
3373
            'attributes' => {
3374
              'bin_pt' => 0,
3375
              'is_floating_block' => 1,
3376
              'must_be_hdl_vector' => 1,
3377
              'period' => 1.0,
3378
              'port_id' => '0',
3379
              'simulinkName' => 'INOUT_LOGIC/data_in',
3380
              'type' => 'Bool',
3381
            },
3382
            'direction' => 'out',
3383
            'hdlType' => 'std_logic',
3384
            'width' => 1,
3385
          },
3386
          'data_in_x7' => {
3387
            'attributes' => {
3388
              'bin_pt' => 0,
3389
              'is_floating_block' => 1,
3390
              'must_be_hdl_vector' => 1,
3391
              'period' => 1.0,
3392
              'port_id' => '0',
3393
              'simulinkName' => 'INOUT_LOGIC/data_in',
3394
              'type' => 'UFix_32_0',
3395
            },
3396
            'direction' => 'out',
3397
            'hdlType' => 'std_logic_vector(31 downto 0)',
3398
            'width' => 32,
3399
          },
3400
          'data_in_x8' => {
3401
            'attributes' => {
3402
              'bin_pt' => 0,
3403
              'is_floating_block' => 1,
3404
              'must_be_hdl_vector' => 1,
3405
              'period' => 1.0,
3406
              'port_id' => '0',
3407
              'simulinkName' => 'INOUT_LOGIC/data_in',
3408
              'type' => 'UFix_1_0',
3409
            },
3410
            'direction' => 'out',
3411
            'hdlType' => 'std_logic',
3412
            'width' => 1,
3413
          },
3414
          'data_in_x9' => {
3415
            'attributes' => {
3416
              'bin_pt' => 0,
3417
              'is_floating_block' => 1,
3418
              'must_be_hdl_vector' => 1,
3419
              'period' => 1.0,
3420
              'port_id' => '0',
3421
              'simulinkName' => 'INOUT_LOGIC/data_in',
3422
              'type' => 'UFix_1_0',
3423
            },
3424
            'direction' => 'out',
3425
            'hdlType' => 'std_logic',
3426
            'width' => 1,
3427
          },
3428
          'data_out' => {
3429
            'attributes' => {
3430
              'bin_pt' => 0,
3431
              'is_floating_block' => 1,
3432
              'must_be_hdl_vector' => 1,
3433
              'period' => 1.0,
3434
              'port_id' => '0',
3435
              'simulinkName' => 'INOUT_LOGIC/From Register1',
3436
              'type' => 'UFix_1_0',
3437
            },
3438
            'direction' => 'in',
3439
            'hdlType' => 'std_logic',
3440
            'width' => 1,
3441
          },
3442
          'data_out_x0' => {
3443
            'attributes' => {
3444
              'bin_pt' => 0,
3445
              'is_floating_block' => 1,
3446
              'must_be_hdl_vector' => 1,
3447
              'period' => 1.0,
3448
              'port_id' => '0',
3449
              'simulinkName' => 'INOUT_LOGIC/From Register10',
3450
              'type' => 'UFix_32_0',
3451
            },
3452
            'direction' => 'in',
3453
            'hdlType' => 'std_logic_vector(31 downto 0)',
3454
            'width' => 32,
3455
          },
3456
          'data_out_x1' => {
3457
            'attributes' => {
3458
              'bin_pt' => 0,
3459
              'is_floating_block' => 1,
3460
              'must_be_hdl_vector' => 1,
3461
              'period' => 1.0,
3462
              'port_id' => '0',
3463
              'simulinkName' => 'INOUT_LOGIC/From Register11',
3464
              'type' => 'UFix_32_0',
3465
            },
3466
            'direction' => 'in',
3467
            'hdlType' => 'std_logic_vector(31 downto 0)',
3468
            'width' => 32,
3469
          },
3470
          'data_out_x10' => {
3471
            'attributes' => {
3472
              'bin_pt' => 0,
3473
              'is_floating_block' => 1,
3474
              'must_be_hdl_vector' => 1,
3475
              'period' => 1.0,
3476
              'port_id' => '0',
3477
              'simulinkName' => 'INOUT_LOGIC/From Register2',
3478
              'type' => 'UFix_1_0',
3479
            },
3480
            'direction' => 'in',
3481
            'hdlType' => 'std_logic',
3482
            'width' => 1,
3483
          },
3484
          'data_out_x11' => {
3485
            'attributes' => {
3486
              'bin_pt' => 0,
3487
              'is_floating_block' => 1,
3488
              'must_be_hdl_vector' => 1,
3489
              'period' => 1.0,
3490
              'port_id' => '0',
3491
              'simulinkName' => 'INOUT_LOGIC/From Register20',
3492
              'type' => 'UFix_1_0',
3493
            },
3494
            'direction' => 'in',
3495
            'hdlType' => 'std_logic',
3496
            'width' => 1,
3497
          },
3498
          'data_out_x12' => {
3499
            'attributes' => {
3500
              'bin_pt' => 0,
3501
              'is_floating_block' => 1,
3502
              'must_be_hdl_vector' => 1,
3503
              'period' => 1.0,
3504
              'port_id' => '0',
3505
              'simulinkName' => 'INOUT_LOGIC/From Register21',
3506
              'type' => 'UFix_32_0',
3507
            },
3508
            'direction' => 'in',
3509
            'hdlType' => 'std_logic_vector(31 downto 0)',
3510
            'width' => 32,
3511
          },
3512
          'data_out_x13' => {
3513
            'attributes' => {
3514
              'bin_pt' => 0,
3515
              'is_floating_block' => 1,
3516
              'must_be_hdl_vector' => 1,
3517
              'period' => 1.0,
3518
              'port_id' => '0',
3519
              'simulinkName' => 'INOUT_LOGIC/From Register22',
3520
              'type' => 'UFix_1_0',
3521
            },
3522
            'direction' => 'in',
3523
            'hdlType' => 'std_logic',
3524
            'width' => 1,
3525
          },
3526
          'data_out_x14' => {
3527
            'attributes' => {
3528
              'bin_pt' => 0,
3529
              'is_floating_block' => 1,
3530
              'must_be_hdl_vector' => 1,
3531
              'period' => 1.0,
3532
              'port_id' => '0',
3533
              'simulinkName' => 'INOUT_LOGIC/From Register23',
3534
              'type' => 'UFix_32_0',
3535
            },
3536
            'direction' => 'in',
3537
            'hdlType' => 'std_logic_vector(31 downto 0)',
3538
            'width' => 32,
3539
          },
3540
          'data_out_x15' => {
3541
            'attributes' => {
3542
              'bin_pt' => 0,
3543
              'is_floating_block' => 1,
3544
              'must_be_hdl_vector' => 1,
3545
              'period' => 1.0,
3546
              'port_id' => '0',
3547
              'simulinkName' => 'INOUT_LOGIC/From Register24',
3548
              'type' => 'UFix_1_0',
3549
            },
3550
            'direction' => 'in',
3551
            'hdlType' => 'std_logic',
3552
            'width' => 1,
3553
          },
3554
          'data_out_x16' => {
3555
            'attributes' => {
3556
              'bin_pt' => 0,
3557
              'is_floating_block' => 1,
3558
              'must_be_hdl_vector' => 1,
3559
              'period' => 1.0,
3560
              'port_id' => '0',
3561
              'simulinkName' => 'INOUT_LOGIC/From Register25',
3562
              'type' => 'UFix_32_0',
3563
            },
3564
            'direction' => 'in',
3565
            'hdlType' => 'std_logic_vector(31 downto 0)',
3566
            'width' => 32,
3567
          },
3568
          'data_out_x17' => {
3569
            'attributes' => {
3570
              'bin_pt' => 0,
3571
              'is_floating_block' => 1,
3572
              'must_be_hdl_vector' => 1,
3573
              'period' => 1.0,
3574
              'port_id' => '0',
3575
              'simulinkName' => 'INOUT_LOGIC/From Register26',
3576
              'type' => 'UFix_1_0',
3577
            },
3578
            'direction' => 'in',
3579
            'hdlType' => 'std_logic',
3580
            'width' => 1,
3581
          },
3582
          'data_out_x18' => {
3583
            'attributes' => {
3584
              'bin_pt' => 0,
3585
              'is_floating_block' => 1,
3586
              'must_be_hdl_vector' => 1,
3587
              'period' => 1.0,
3588
              'port_id' => '0',
3589
              'simulinkName' => 'INOUT_LOGIC/From Register27',
3590
              'type' => 'UFix_32_0',
3591
            },
3592
            'direction' => 'in',
3593
            'hdlType' => 'std_logic_vector(31 downto 0)',
3594
            'width' => 32,
3595
          },
3596
          'data_out_x19' => {
3597
            'attributes' => {
3598
              'bin_pt' => 0,
3599
              'is_floating_block' => 1,
3600
              'must_be_hdl_vector' => 1,
3601
              'period' => 1.0,
3602
              'port_id' => '0',
3603
              'simulinkName' => 'INOUT_LOGIC/From Register28',
3604
              'type' => 'UFix_1_0',
3605
            },
3606
            'direction' => 'in',
3607
            'hdlType' => 'std_logic',
3608
            'width' => 1,
3609
          },
3610
          'data_out_x2' => {
3611
            'attributes' => {
3612
              'bin_pt' => 0,
3613
              'is_floating_block' => 1,
3614
              'must_be_hdl_vector' => 1,
3615
              'period' => 1.0,
3616
              'port_id' => '0',
3617
              'simulinkName' => 'INOUT_LOGIC/From Register12',
3618
              'type' => 'UFix_1_0',
3619
            },
3620
            'direction' => 'in',
3621
            'hdlType' => 'std_logic',
3622
            'width' => 1,
3623
          },
3624
          'data_out_x20' => {
3625
            'attributes' => {
3626
              'bin_pt' => 0,
3627
              'is_floating_block' => 1,
3628
              'must_be_hdl_vector' => 1,
3629
              'period' => 1.0,
3630
              'port_id' => '0',
3631
              'simulinkName' => 'INOUT_LOGIC/From Register3',
3632
              'type' => 'UFix_32_0',
3633
            },
3634
            'direction' => 'in',
3635
            'hdlType' => 'std_logic_vector(31 downto 0)',
3636
            'width' => 32,
3637
          },
3638
          'data_out_x21' => {
3639
            'attributes' => {
3640
              'bin_pt' => 0,
3641
              'is_floating_block' => 1,
3642
              'must_be_hdl_vector' => 1,
3643
              'period' => 1.0,
3644
              'port_id' => '0',
3645
              'simulinkName' => 'INOUT_LOGIC/From Register4',
3646
              'type' => 'UFix_1_0',
3647
            },
3648
            'direction' => 'in',
3649
            'hdlType' => 'std_logic',
3650
            'width' => 1,
3651
          },
3652
          'data_out_x22' => {
3653
            'attributes' => {
3654
              'bin_pt' => 0,
3655
              'is_floating_block' => 1,
3656
              'must_be_hdl_vector' => 1,
3657
              'period' => 1.0,
3658
              'port_id' => '0',
3659
              'simulinkName' => 'INOUT_LOGIC/From Register5',
3660
              'type' => 'UFix_32_0',
3661
            },
3662
            'direction' => 'in',
3663
            'hdlType' => 'std_logic_vector(31 downto 0)',
3664
            'width' => 32,
3665
          },
3666
          'data_out_x23' => {
3667
            'attributes' => {
3668
              'bin_pt' => 0,
3669
              'is_floating_block' => 1,
3670
              'must_be_hdl_vector' => 1,
3671
              'period' => 1.0,
3672
              'port_id' => '0',
3673
              'simulinkName' => 'INOUT_LOGIC/From Register6',
3674
              'type' => 'UFix_1_0',
3675
            },
3676
            'direction' => 'in',
3677
            'hdlType' => 'std_logic',
3678
            'width' => 1,
3679
          },
3680
          'data_out_x24' => {
3681
            'attributes' => {
3682
              'bin_pt' => 0,
3683
              'is_floating_block' => 1,
3684
              'must_be_hdl_vector' => 1,
3685
              'period' => 1.0,
3686
              'port_id' => '0',
3687
              'simulinkName' => 'INOUT_LOGIC/From Register7',
3688
              'type' => 'UFix_32_0',
3689
            },
3690
            'direction' => 'in',
3691
            'hdlType' => 'std_logic_vector(31 downto 0)',
3692
            'width' => 32,
3693
          },
3694
          'data_out_x25' => {
3695
            'attributes' => {
3696
              'bin_pt' => 0,
3697
              'is_floating_block' => 1,
3698
              'must_be_hdl_vector' => 1,
3699
              'period' => 1.0,
3700
              'port_id' => '0',
3701
              'simulinkName' => 'INOUT_LOGIC/From Register8',
3702
              'type' => 'UFix_32_0',
3703
            },
3704
            'direction' => 'in',
3705
            'hdlType' => 'std_logic_vector(31 downto 0)',
3706
            'width' => 32,
3707
          },
3708
          'data_out_x26' => {
3709
            'attributes' => {
3710
              'bin_pt' => 0,
3711
              'is_floating_block' => 1,
3712
              'must_be_hdl_vector' => 1,
3713
              'period' => 1.0,
3714
              'port_id' => '0',
3715
              'simulinkName' => 'INOUT_LOGIC/From Register9',
3716
              'type' => 'UFix_1_0',
3717
            },
3718
            'direction' => 'in',
3719
            'hdlType' => 'std_logic',
3720
            'width' => 1,
3721
          },
3722
          'data_out_x3' => {
3723
            'attributes' => {
3724
              'bin_pt' => 0,
3725
              'is_floating_block' => 1,
3726
              'must_be_hdl_vector' => 1,
3727
              'period' => 1.0,
3728
              'port_id' => '0',
3729
              'simulinkName' => 'INOUT_LOGIC/From Register13',
3730
              'type' => 'UFix_32_0',
3731
            },
3732
            'direction' => 'in',
3733
            'hdlType' => 'std_logic_vector(31 downto 0)',
3734
            'width' => 32,
3735
          },
3736
          'data_out_x4' => {
3737
            'attributes' => {
3738
              'bin_pt' => 0,
3739
              'is_floating_block' => 1,
3740
              'must_be_hdl_vector' => 1,
3741
              'period' => 1.0,
3742
              'port_id' => '0',
3743
              'simulinkName' => 'INOUT_LOGIC/From Register14',
3744
              'type' => 'UFix_1_0',
3745
            },
3746
            'direction' => 'in',
3747
            'hdlType' => 'std_logic',
3748
            'width' => 1,
3749
          },
3750
          'data_out_x5' => {
3751
            'attributes' => {
3752
              'bin_pt' => 0,
3753
              'is_floating_block' => 1,
3754
              'must_be_hdl_vector' => 1,
3755
              'period' => 1.0,
3756
              'port_id' => '0',
3757
              'simulinkName' => 'INOUT_LOGIC/From Register15',
3758
              'type' => 'UFix_32_0',
3759
            },
3760
            'direction' => 'in',
3761
            'hdlType' => 'std_logic_vector(31 downto 0)',
3762
            'width' => 32,
3763
          },
3764
          'data_out_x6' => {
3765
            'attributes' => {
3766
              'bin_pt' => 0,
3767
              'is_floating_block' => 1,
3768
              'must_be_hdl_vector' => 1,
3769
              'period' => 1.0,
3770
              'port_id' => '0',
3771
              'simulinkName' => 'INOUT_LOGIC/From Register16',
3772
              'type' => 'UFix_1_0',
3773
            },
3774
            'direction' => 'in',
3775
            'hdlType' => 'std_logic',
3776
            'width' => 1,
3777
          },
3778
          'data_out_x7' => {
3779
            'attributes' => {
3780
              'bin_pt' => 0,
3781
              'is_floating_block' => 1,
3782
              'must_be_hdl_vector' => 1,
3783
              'period' => 1.0,
3784
              'port_id' => '0',
3785
              'simulinkName' => 'INOUT_LOGIC/From Register17',
3786
              'type' => 'UFix_32_0',
3787
            },
3788
            'direction' => 'in',
3789
            'hdlType' => 'std_logic_vector(31 downto 0)',
3790
            'width' => 32,
3791
          },
3792
          'data_out_x8' => {
3793
            'attributes' => {
3794
              'bin_pt' => 0,
3795
              'is_floating_block' => 1,
3796
              'must_be_hdl_vector' => 1,
3797
              'period' => 1.0,
3798
              'port_id' => '0',
3799
              'simulinkName' => 'INOUT_LOGIC/From Register18',
3800
              'type' => 'UFix_1_0',
3801
            },
3802
            'direction' => 'in',
3803
            'hdlType' => 'std_logic',
3804
            'width' => 1,
3805
          },
3806
          'data_out_x9' => {
3807
            'attributes' => {
3808
              'bin_pt' => 0,
3809
              'is_floating_block' => 1,
3810
              'must_be_hdl_vector' => 1,
3811
              'period' => 1.0,
3812
              'port_id' => '0',
3813
              'simulinkName' => 'INOUT_LOGIC/From Register19',
3814
              'type' => 'UFix_32_0',
3815
            },
3816
            'direction' => 'in',
3817
            'hdlType' => 'std_logic_vector(31 downto 0)',
3818
            'width' => 32,
3819
          },
3820
          'debug_in_1i' => {
3821
            'attributes' => {
3822
              'bin_pt' => 0,
3823
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
3824
              'is_floating_block' => 1,
3825
              'is_gateway_port' => 1,
3826
              'must_be_hdl_vector' => 1,
3827
              'period' => 1.0,
3828
              'port_id' => '0',
3829
              'simulinkName' => 'INOUT_LOGIC/debug_in_1i',
3830
              'source_block' => 'INOUT_LOGIC',
3831
              'timingConstraint' => 'none',
3832
              'type' => 'UFix_32_0',
3833
            },
3834
            'direction' => 'in',
3835
            'hdlType' => 'std_logic_vector(31 downto 0)',
3836
            'width' => 32,
3837
          },
3838
          'debug_in_2i' => {
3839
            'attributes' => {
3840
              'bin_pt' => 0,
3841
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
3842
              'is_floating_block' => 1,
3843
              'is_gateway_port' => 1,
3844
              'must_be_hdl_vector' => 1,
3845
              'period' => 1.0,
3846
              'port_id' => '0',
3847
              'simulinkName' => 'INOUT_LOGIC/debug_in_2i',
3848
              'source_block' => 'INOUT_LOGIC',
3849
              'timingConstraint' => 'none',
3850
              'type' => 'UFix_32_0',
3851
            },
3852
            'direction' => 'in',
3853
            'hdlType' => 'std_logic_vector(31 downto 0)',
3854
            'width' => 32,
3855
          },
3856
          'debug_in_3i' => {
3857
            'attributes' => {
3858
              'bin_pt' => 0,
3859
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
3860
              'is_floating_block' => 1,
3861
              'is_gateway_port' => 1,
3862
              'must_be_hdl_vector' => 1,
3863
              'period' => 1.0,
3864
              'port_id' => '0',
3865
              'simulinkName' => 'INOUT_LOGIC/debug_in_3i',
3866
              'source_block' => 'INOUT_LOGIC',
3867
              'timingConstraint' => 'none',
3868
              'type' => 'UFix_32_0',
3869
            },
3870
            'direction' => 'in',
3871
            'hdlType' => 'std_logic_vector(31 downto 0)',
3872
            'width' => 32,
3873
          },
3874
          'debug_in_4i' => {
3875
            'attributes' => {
3876
              'bin_pt' => 0,
3877
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
3878
              'is_floating_block' => 1,
3879
              'is_gateway_port' => 1,
3880
              'must_be_hdl_vector' => 1,
3881
              'period' => 1.0,
3882
              'port_id' => '0',
3883
              'simulinkName' => 'INOUT_LOGIC/debug_in_4i',
3884
              'source_block' => 'INOUT_LOGIC',
3885
              'timingConstraint' => 'none',
3886
              'type' => 'UFix_32_0',
3887
            },
3888
            'direction' => 'in',
3889
            'hdlType' => 'std_logic_vector(31 downto 0)',
3890
            'width' => 32,
3891
          },
3892
          'dma_host2board_busy' => {
3893
            'attributes' => {
3894
              'bin_pt' => 0,
3895
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
3896
              'is_floating_block' => 1,
3897
              'is_gateway_port' => 1,
3898
              'must_be_hdl_vector' => 1,
3899
              'period' => 1.0,
3900
              'port_id' => '0',
3901
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Busy',
3902
              'source_block' => 'INOUT_LOGIC',
3903
              'timingConstraint' => 'none',
3904
              'type' => 'UFix_1_0',
3905
            },
3906
            'direction' => 'in',
3907
            'hdlType' => 'std_logic',
3908
            'width' => 1,
3909
          },
3910
          'dma_host2board_done' => {
3911
            'attributes' => {
3912
              'bin_pt' => 0,
3913
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
3914
              'is_floating_block' => 1,
3915
              'is_gateway_port' => 1,
3916
              'must_be_hdl_vector' => 1,
3917
              'period' => 1.0,
3918
              'port_id' => '0',
3919
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Done',
3920
              'source_block' => 'INOUT_LOGIC',
3921
              'timingConstraint' => 'none',
3922
              'type' => 'UFix_1_0',
3923
            },
3924
            'direction' => 'in',
3925
            'hdlType' => 'std_logic',
3926
            'width' => 1,
3927
          },
3928
          'en' => {
3929
            'attributes' => {
3930
              'bin_pt' => 0,
3931
              'is_floating_block' => 1,
3932
              'must_be_hdl_vector' => 1,
3933
              'period' => 1.0,
3934
              'port_id' => '0',
3935
              'simulinkName' => 'INOUT_LOGIC/en',
3936
              'type' => 'Bool',
3937
            },
3938
            'direction' => 'out',
3939
            'hdlType' => 'std_logic',
3940
            'width' => 1,
3941
          },
3942
          'en_x0' => {
3943
            'attributes' => {
3944
              'bin_pt' => 0,
3945
              'is_floating_block' => 1,
3946
              'must_be_hdl_vector' => 1,
3947
              'period' => 1.0,
3948
              'port_id' => '0',
3949
              'simulinkName' => 'INOUT_LOGIC/en',
3950
              'type' => 'Bool',
3951
            },
3952
            'direction' => 'out',
3953
            'hdlType' => 'std_logic',
3954
            'width' => 1,
3955
          },
3956
          'en_x1' => {
3957
            'attributes' => {
3958
              'bin_pt' => 0,
3959
              'is_floating_block' => 1,
3960
              'must_be_hdl_vector' => 1,
3961
              'period' => 1.0,
3962
              'port_id' => '0',
3963
              'simulinkName' => 'INOUT_LOGIC/en',
3964
              'type' => 'Bool',
3965
            },
3966
            'direction' => 'out',
3967
            'hdlType' => 'std_logic',
3968
            'width' => 1,
3969
          },
3970
          'en_x10' => {
3971
            'attributes' => {
3972
              'bin_pt' => 0,
3973
              'is_floating_block' => 1,
3974
              'must_be_hdl_vector' => 1,
3975
              'period' => 1.0,
3976
              'port_id' => '0',
3977
              'simulinkName' => 'INOUT_LOGIC/en',
3978
              'type' => 'Bool',
3979
            },
3980
            'direction' => 'out',
3981
            'hdlType' => 'std_logic',
3982
            'width' => 1,
3983
          },
3984
          'en_x11' => {
3985
            'attributes' => {
3986
              'bin_pt' => 0,
3987
              'is_floating_block' => 1,
3988
              'must_be_hdl_vector' => 1,
3989
              'period' => 1.0,
3990
              'port_id' => '0',
3991
              'simulinkName' => 'INOUT_LOGIC/en',
3992
              'type' => 'Bool',
3993
            },
3994
            'direction' => 'out',
3995
            'hdlType' => 'std_logic',
3996
            'width' => 1,
3997
          },
3998
          'en_x12' => {
3999
            'attributes' => {
4000
              'bin_pt' => 0,
4001
              'is_floating_block' => 1,
4002
              'must_be_hdl_vector' => 1,
4003
              'period' => 1.0,
4004
              'port_id' => '0',
4005
              'simulinkName' => 'INOUT_LOGIC/en',
4006
              'type' => 'Bool',
4007
            },
4008
            'direction' => 'out',
4009
            'hdlType' => 'std_logic',
4010
            'width' => 1,
4011
          },
4012
          'en_x13' => {
4013
            'attributes' => {
4014
              'bin_pt' => 0,
4015
              'is_floating_block' => 1,
4016
              'must_be_hdl_vector' => 1,
4017
              'period' => 1.0,
4018
              'port_id' => '0',
4019
              'simulinkName' => 'INOUT_LOGIC/en',
4020
              'type' => 'Bool',
4021
            },
4022
            'direction' => 'out',
4023
            'hdlType' => 'std_logic',
4024
            'width' => 1,
4025
          },
4026
          'en_x14' => {
4027
            'attributes' => {
4028
              'bin_pt' => 0,
4029
              'is_floating_block' => 1,
4030
              'must_be_hdl_vector' => 1,
4031
              'period' => 1.0,
4032
              'port_id' => '0',
4033
              'simulinkName' => 'INOUT_LOGIC/en',
4034
              'type' => 'Bool',
4035
            },
4036
            'direction' => 'out',
4037
            'hdlType' => 'std_logic',
4038
            'width' => 1,
4039
          },
4040
          'en_x15' => {
4041
            'attributes' => {
4042
              'bin_pt' => 0,
4043
              'is_floating_block' => 1,
4044
              'must_be_hdl_vector' => 1,
4045
              'period' => 1.0,
4046
              'port_id' => '0',
4047
              'simulinkName' => 'INOUT_LOGIC/en',
4048
              'type' => 'Bool',
4049
            },
4050
            'direction' => 'out',
4051
            'hdlType' => 'std_logic',
4052
            'width' => 1,
4053
          },
4054
          'en_x16' => {
4055
            'attributes' => {
4056
              'bin_pt' => 0,
4057
              'is_floating_block' => 1,
4058
              'must_be_hdl_vector' => 1,
4059
              'period' => 1.0,
4060
              'port_id' => '0',
4061
              'simulinkName' => 'INOUT_LOGIC/en',
4062
              'type' => 'Bool',
4063
            },
4064
            'direction' => 'out',
4065
            'hdlType' => 'std_logic',
4066
            'width' => 1,
4067
          },
4068
          'en_x17' => {
4069
            'attributes' => {
4070
              'bin_pt' => 0,
4071
              'is_floating_block' => 1,
4072
              'must_be_hdl_vector' => 1,
4073
              'period' => 1.0,
4074
              'port_id' => '0',
4075
              'simulinkName' => 'INOUT_LOGIC/en',
4076
              'type' => 'Bool',
4077
            },
4078
            'direction' => 'out',
4079
            'hdlType' => 'std_logic',
4080
            'width' => 1,
4081
          },
4082
          'en_x18' => {
4083
            'attributes' => {
4084
              'bin_pt' => 0,
4085
              'is_floating_block' => 1,
4086
              'must_be_hdl_vector' => 1,
4087
              'period' => 1.0,
4088
              'port_id' => '0',
4089
              'simulinkName' => 'INOUT_LOGIC/en',
4090
              'type' => 'Bool',
4091
            },
4092
            'direction' => 'out',
4093
            'hdlType' => 'std_logic',
4094
            'width' => 1,
4095
          },
4096
          'en_x19' => {
4097
            'attributes' => {
4098
              'bin_pt' => 0,
4099
              'is_floating_block' => 1,
4100
              'must_be_hdl_vector' => 1,
4101
              'period' => 1.0,
4102
              'port_id' => '0',
4103
              'simulinkName' => 'INOUT_LOGIC/en',
4104
              'type' => 'Bool',
4105
            },
4106
            'direction' => 'out',
4107
            'hdlType' => 'std_logic',
4108
            'width' => 1,
4109
          },
4110
          'en_x2' => {
4111
            'attributes' => {
4112
              'bin_pt' => 0,
4113
              'is_floating_block' => 1,
4114
              'must_be_hdl_vector' => 1,
4115
              'period' => 1.0,
4116
              'port_id' => '0',
4117
              'simulinkName' => 'INOUT_LOGIC/en',
4118
              'type' => 'Bool',
4119
            },
4120
            'direction' => 'out',
4121
            'hdlType' => 'std_logic',
4122
            'width' => 1,
4123
          },
4124
          'en_x20' => {
4125
            'attributes' => {
4126
              'bin_pt' => 0,
4127
              'is_floating_block' => 1,
4128
              'must_be_hdl_vector' => 1,
4129
              'period' => 1.0,
4130
              'port_id' => '0',
4131
              'simulinkName' => 'INOUT_LOGIC/en',
4132
              'type' => 'Bool',
4133
            },
4134
            'direction' => 'out',
4135
            'hdlType' => 'std_logic',
4136
            'width' => 1,
4137
          },
4138
          'en_x21' => {
4139
            'attributes' => {
4140
              'bin_pt' => 0,
4141
              'is_floating_block' => 1,
4142
              'must_be_hdl_vector' => 1,
4143
              'period' => 1.0,
4144
              'port_id' => '0',
4145
              'simulinkName' => 'INOUT_LOGIC/en',
4146
              'type' => 'Bool',
4147
            },
4148
            'direction' => 'out',
4149
            'hdlType' => 'std_logic',
4150
            'width' => 1,
4151
          },
4152
          'en_x22' => {
4153
            'attributes' => {
4154
              'bin_pt' => 0,
4155
              'is_floating_block' => 1,
4156
              'must_be_hdl_vector' => 1,
4157
              'period' => 1.0,
4158
              'port_id' => '0',
4159
              'simulinkName' => 'INOUT_LOGIC/en',
4160
              'type' => 'Bool',
4161
            },
4162
            'direction' => 'out',
4163
            'hdlType' => 'std_logic',
4164
            'width' => 1,
4165
          },
4166
          'en_x23' => {
4167
            'attributes' => {
4168
              'bin_pt' => 0,
4169
              'is_floating_block' => 1,
4170
              'must_be_hdl_vector' => 1,
4171
              'period' => 1.0,
4172
              'port_id' => '0',
4173
              'simulinkName' => 'INOUT_LOGIC/en',
4174
              'type' => 'Bool',
4175
            },
4176
            'direction' => 'out',
4177
            'hdlType' => 'std_logic',
4178
            'width' => 1,
4179
          },
4180
          'en_x24' => {
4181
            'attributes' => {
4182
              'bin_pt' => 0,
4183
              'is_floating_block' => 1,
4184
              'must_be_hdl_vector' => 1,
4185
              'period' => 1.0,
4186
              'port_id' => '0',
4187
              'simulinkName' => 'INOUT_LOGIC/en',
4188
              'type' => 'Bool',
4189
            },
4190
            'direction' => 'out',
4191
            'hdlType' => 'std_logic',
4192
            'width' => 1,
4193
          },
4194
          'en_x25' => {
4195
            'attributes' => {
4196
              'bin_pt' => 0,
4197
              'is_floating_block' => 1,
4198
              'must_be_hdl_vector' => 1,
4199
              'period' => 1.0,
4200
              'port_id' => '0',
4201
              'simulinkName' => 'INOUT_LOGIC/en',
4202
              'type' => 'Bool',
4203
            },
4204
            'direction' => 'out',
4205
            'hdlType' => 'std_logic',
4206
            'width' => 1,
4207
          },
4208
          'en_x26' => {
4209
            'attributes' => {
4210
              'bin_pt' => 0,
4211
              'is_floating_block' => 1,
4212
              'must_be_hdl_vector' => 1,
4213
              'period' => 1.0,
4214
              'port_id' => '0',
4215
              'simulinkName' => 'INOUT_LOGIC/en',
4216
              'type' => 'Bool',
4217
            },
4218
            'direction' => 'out',
4219
            'hdlType' => 'std_logic',
4220
            'width' => 1,
4221
          },
4222
          'en_x27' => {
4223
            'attributes' => {
4224
              'bin_pt' => 0,
4225
              'is_floating_block' => 1,
4226
              'must_be_hdl_vector' => 1,
4227
              'period' => 1.0,
4228
              'port_id' => '0',
4229
              'simulinkName' => 'INOUT_LOGIC/en',
4230
              'type' => 'Bool',
4231
            },
4232
            'direction' => 'out',
4233
            'hdlType' => 'std_logic',
4234
            'width' => 1,
4235
          },
4236
          'en_x28' => {
4237
            'attributes' => {
4238
              'bin_pt' => 0,
4239
              'is_floating_block' => 1,
4240
              'must_be_hdl_vector' => 1,
4241
              'period' => 1.0,
4242
              'port_id' => '0',
4243
              'simulinkName' => 'INOUT_LOGIC/en',
4244
              'type' => 'Bool',
4245
            },
4246
            'direction' => 'out',
4247
            'hdlType' => 'std_logic',
4248
            'width' => 1,
4249
          },
4250
          'en_x29' => {
4251
            'attributes' => {
4252
              'bin_pt' => 0,
4253
              'is_floating_block' => 1,
4254
              'must_be_hdl_vector' => 1,
4255
              'period' => 1.0,
4256
              'port_id' => '0',
4257
              'simulinkName' => 'INOUT_LOGIC/en',
4258
              'type' => 'Bool',
4259
            },
4260
            'direction' => 'out',
4261
            'hdlType' => 'std_logic',
4262
            'width' => 1,
4263
          },
4264
          'en_x3' => {
4265
            'attributes' => {
4266
              'bin_pt' => 0,
4267
              'is_floating_block' => 1,
4268
              'must_be_hdl_vector' => 1,
4269
              'period' => 1.0,
4270
              'port_id' => '0',
4271
              'simulinkName' => 'INOUT_LOGIC/en',
4272
              'type' => 'Bool',
4273
            },
4274
            'direction' => 'out',
4275
            'hdlType' => 'std_logic',
4276
            'width' => 1,
4277
          },
4278
          'en_x30' => {
4279
            'attributes' => {
4280
              'bin_pt' => 0,
4281
              'is_floating_block' => 1,
4282
              'must_be_hdl_vector' => 1,
4283
              'period' => 1.0,
4284
              'port_id' => '0',
4285
              'simulinkName' => 'INOUT_LOGIC/en',
4286
              'type' => 'Bool',
4287
            },
4288
            'direction' => 'out',
4289
            'hdlType' => 'std_logic',
4290
            'width' => 1,
4291
          },
4292
          'en_x31' => {
4293
            'attributes' => {
4294
              'bin_pt' => 0,
4295
              'is_floating_block' => 1,
4296
              'must_be_hdl_vector' => 1,
4297
              'period' => 1.0,
4298
              'port_id' => '0',
4299
              'simulinkName' => 'INOUT_LOGIC/en',
4300
              'type' => 'Bool',
4301
            },
4302
            'direction' => 'out',
4303
            'hdlType' => 'std_logic',
4304
            'width' => 1,
4305
          },
4306
          'en_x32' => {
4307
            'attributes' => {
4308
              'bin_pt' => 0,
4309
              'is_floating_block' => 1,
4310
              'must_be_hdl_vector' => 1,
4311
              'period' => 1.0,
4312
              'port_id' => '0',
4313
              'simulinkName' => 'INOUT_LOGIC/en',
4314
              'type' => 'Bool',
4315
            },
4316
            'direction' => 'out',
4317
            'hdlType' => 'std_logic',
4318
            'width' => 1,
4319
          },
4320
          'en_x4' => {
4321
            'attributes' => {
4322
              'bin_pt' => 0,
4323
              'is_floating_block' => 1,
4324
              'must_be_hdl_vector' => 1,
4325
              'period' => 1.0,
4326
              'port_id' => '0',
4327
              'simulinkName' => 'INOUT_LOGIC/en',
4328
              'type' => 'Bool',
4329
            },
4330
            'direction' => 'out',
4331
            'hdlType' => 'std_logic',
4332
            'width' => 1,
4333
          },
4334
          'en_x5' => {
4335
            'attributes' => {
4336
              'bin_pt' => 0,
4337
              'is_floating_block' => 1,
4338
              'must_be_hdl_vector' => 1,
4339
              'period' => 1.0,
4340
              'port_id' => '0',
4341
              'simulinkName' => 'INOUT_LOGIC/en',
4342
              'type' => 'Bool',
4343
            },
4344
            'direction' => 'out',
4345
            'hdlType' => 'std_logic',
4346
            'width' => 1,
4347
          },
4348
          'en_x6' => {
4349
            'attributes' => {
4350
              'bin_pt' => 0,
4351
              'is_floating_block' => 1,
4352
              'must_be_hdl_vector' => 1,
4353
              'period' => 1.0,
4354
              'port_id' => '0',
4355
              'simulinkName' => 'INOUT_LOGIC/en',
4356
              'type' => 'Bool',
4357
            },
4358
            'direction' => 'out',
4359
            'hdlType' => 'std_logic',
4360
            'width' => 1,
4361
          },
4362
          'en_x7' => {
4363
            'attributes' => {
4364
              'bin_pt' => 0,
4365
              'is_floating_block' => 1,
4366
              'must_be_hdl_vector' => 1,
4367
              'period' => 1.0,
4368
              'port_id' => '0',
4369
              'simulinkName' => 'INOUT_LOGIC/en',
4370
              'type' => 'Bool',
4371
            },
4372
            'direction' => 'out',
4373
            'hdlType' => 'std_logic',
4374
            'width' => 1,
4375
          },
4376
          'en_x8' => {
4377
            'attributes' => {
4378
              'bin_pt' => 0,
4379
              'is_floating_block' => 1,
4380
              'must_be_hdl_vector' => 1,
4381
              'period' => 1.0,
4382
              'port_id' => '0',
4383
              'simulinkName' => 'INOUT_LOGIC/en',
4384
              'type' => 'Bool',
4385
            },
4386
            'direction' => 'out',
4387
            'hdlType' => 'std_logic',
4388
            'width' => 1,
4389
          },
4390
          'en_x9' => {
4391
            'attributes' => {
4392
              'bin_pt' => 0,
4393
              'is_floating_block' => 1,
4394
              'must_be_hdl_vector' => 1,
4395
              'period' => 1.0,
4396
              'port_id' => '0',
4397
              'simulinkName' => 'INOUT_LOGIC/en',
4398
              'type' => 'Bool',
4399
            },
4400
            'direction' => 'out',
4401
            'hdlType' => 'std_logic',
4402
            'width' => 1,
4403
          },
4404
          'reg01_rd' => {
4405
            'attributes' => {
4406
              'bin_pt' => 0,
4407
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
4408
              'is_floating_block' => 1,
4409
              'is_gateway_port' => 1,
4410
              'must_be_hdl_vector' => 1,
4411
              'period' => 1.0,
4412
              'port_id' => '0',
4413
              'simulinkName' => 'INOUT_LOGIC/reg01_rd',
4414
              'source_block' => 'INOUT_LOGIC',
4415
              'timingConstraint' => 'none',
4416
              'type' => 'UFix_32_0',
4417
            },
4418
            'direction' => 'out',
4419
            'hdlType' => 'std_logic_vector(31 downto 0)',
4420
            'width' => 32,
4421
          },
4422
          'reg01_rv' => {
4423
            'attributes' => {
4424
              'bin_pt' => 0,
4425
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
4426
              'is_floating_block' => 1,
4427
              'is_gateway_port' => 1,
4428
              'must_be_hdl_vector' => 1,
4429
              'period' => 1.0,
4430
              'port_id' => '0',
4431
              'simulinkName' => 'INOUT_LOGIC/reg01_rv',
4432
              'source_block' => 'INOUT_LOGIC',
4433
              'timingConstraint' => 'none',
4434
              'type' => 'UFix_1_0',
4435
            },
4436
            'direction' => 'out',
4437
            'hdlType' => 'std_logic',
4438
            'width' => 1,
4439
          },
4440
          'reg01_td' => {
4441
            'attributes' => {
4442
              'bin_pt' => 0,
4443
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
4444
              'is_floating_block' => 1,
4445
              'is_gateway_port' => 1,
4446
              'must_be_hdl_vector' => 1,
4447
              'period' => 1.0,
4448
              'port_id' => '0',
4449
              'simulinkName' => 'INOUT_LOGIC/reg01_td',
4450
              'source_block' => 'INOUT_LOGIC',
4451
              'timingConstraint' => 'none',
4452
              'type' => 'UFix_32_0',
4453
            },
4454
            'direction' => 'in',
4455
            'hdlType' => 'std_logic_vector(31 downto 0)',
4456
            'width' => 32,
4457
          },
4458
          'reg01_tv' => {
4459
            'attributes' => {
4460
              'bin_pt' => 0,
4461
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
4462
              'is_floating_block' => 1,
4463
              'is_gateway_port' => 1,
4464
              'must_be_hdl_vector' => 1,
4465
              'period' => 1.0,
4466
              'port_id' => '0',
4467
              'simulinkName' => 'INOUT_LOGIC/reg01_tv',
4468
              'source_block' => 'INOUT_LOGIC',
4469
              'timingConstraint' => 'none',
4470
              'type' => 'Bool',
4471
            },
4472
            'direction' => 'in',
4473
            'hdlType' => 'std_logic',
4474
            'width' => 1,
4475
          },
4476
          'reg02_rd' => {
4477
            'attributes' => {
4478
              'bin_pt' => 0,
4479
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
4480
              'is_floating_block' => 1,
4481
              'is_gateway_port' => 1,
4482
              'must_be_hdl_vector' => 1,
4483
              'period' => 1.0,
4484
              'port_id' => '0',
4485
              'simulinkName' => 'INOUT_LOGIC/reg02_rd',
4486
              'source_block' => 'INOUT_LOGIC',
4487
              'timingConstraint' => 'none',
4488
              'type' => 'UFix_32_0',
4489
            },
4490
            'direction' => 'out',
4491
            'hdlType' => 'std_logic_vector(31 downto 0)',
4492
            'width' => 32,
4493
          },
4494
          'reg02_rv' => {
4495
            'attributes' => {
4496
              'bin_pt' => 0,
4497
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
4498
              'is_floating_block' => 1,
4499
              'is_gateway_port' => 1,
4500
              'must_be_hdl_vector' => 1,
4501
              'period' => 1.0,
4502
              'port_id' => '0',
4503
              'simulinkName' => 'INOUT_LOGIC/reg02_rv',
4504
              'source_block' => 'INOUT_LOGIC',
4505
              'timingConstraint' => 'none',
4506
              'type' => 'UFix_1_0',
4507
            },
4508
            'direction' => 'out',
4509
            'hdlType' => 'std_logic',
4510
            'width' => 1,
4511
          },
4512
          'reg02_td' => {
4513
            'attributes' => {
4514
              'bin_pt' => 0,
4515
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
4516
              'is_floating_block' => 1,
4517
              'is_gateway_port' => 1,
4518
              'must_be_hdl_vector' => 1,
4519
              'period' => 1.0,
4520
              'port_id' => '0',
4521
              'simulinkName' => 'INOUT_LOGIC/reg02_td',
4522
              'source_block' => 'INOUT_LOGIC',
4523
              'timingConstraint' => 'none',
4524
              'type' => 'UFix_32_0',
4525
            },
4526
            'direction' => 'in',
4527
            'hdlType' => 'std_logic_vector(31 downto 0)',
4528
            'width' => 32,
4529
          },
4530
          'reg02_tv' => {
4531
            'attributes' => {
4532
              'bin_pt' => 0,
4533
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
4534
              'is_floating_block' => 1,
4535
              'is_gateway_port' => 1,
4536
              'must_be_hdl_vector' => 1,
4537
              'period' => 1.0,
4538
              'port_id' => '0',
4539
              'simulinkName' => 'INOUT_LOGIC/reg02_tv',
4540
              'source_block' => 'INOUT_LOGIC',
4541
              'timingConstraint' => 'none',
4542
              'type' => 'Bool',
4543
            },
4544
            'direction' => 'in',
4545
            'hdlType' => 'std_logic',
4546
            'width' => 1,
4547
          },
4548
          'reg03_rd' => {
4549
            'attributes' => {
4550
              'bin_pt' => 0,
4551
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
4552
              'is_floating_block' => 1,
4553
              'is_gateway_port' => 1,
4554
              'must_be_hdl_vector' => 1,
4555
              'period' => 1.0,
4556
              'port_id' => '0',
4557
              'simulinkName' => 'INOUT_LOGIC/reg03_rd',
4558
              'source_block' => 'INOUT_LOGIC',
4559
              'timingConstraint' => 'none',
4560
              'type' => 'UFix_32_0',
4561
            },
4562
            'direction' => 'out',
4563
            'hdlType' => 'std_logic_vector(31 downto 0)',
4564
            'width' => 32,
4565
          },
4566
          'reg03_rv' => {
4567
            'attributes' => {
4568
              'bin_pt' => 0,
4569
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
4570
              'is_floating_block' => 1,
4571
              'is_gateway_port' => 1,
4572
              'must_be_hdl_vector' => 1,
4573
              'period' => 1.0,
4574
              'port_id' => '0',
4575
              'simulinkName' => 'INOUT_LOGIC/reg03_rv',
4576
              'source_block' => 'INOUT_LOGIC',
4577
              'timingConstraint' => 'none',
4578
              'type' => 'UFix_1_0',
4579
            },
4580
            'direction' => 'out',
4581
            'hdlType' => 'std_logic',
4582
            'width' => 1,
4583
          },
4584
          'reg03_td' => {
4585
            'attributes' => {
4586
              'bin_pt' => 0,
4587
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
4588
              'is_floating_block' => 1,
4589
              'is_gateway_port' => 1,
4590
              'must_be_hdl_vector' => 1,
4591
              'period' => 1.0,
4592
              'port_id' => '0',
4593
              'simulinkName' => 'INOUT_LOGIC/reg03_td',
4594
              'source_block' => 'INOUT_LOGIC',
4595
              'timingConstraint' => 'none',
4596
              'type' => 'UFix_32_0',
4597
            },
4598
            'direction' => 'in',
4599
            'hdlType' => 'std_logic_vector(31 downto 0)',
4600
            'width' => 32,
4601
          },
4602
          'reg03_tv' => {
4603
            'attributes' => {
4604
              'bin_pt' => 0,
4605
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
4606
              'is_floating_block' => 1,
4607
              'is_gateway_port' => 1,
4608
              'must_be_hdl_vector' => 1,
4609
              'period' => 1.0,
4610
              'port_id' => '0',
4611
              'simulinkName' => 'INOUT_LOGIC/reg03_tv',
4612
              'source_block' => 'INOUT_LOGIC',
4613
              'timingConstraint' => 'none',
4614
              'type' => 'Bool',
4615
            },
4616
            'direction' => 'in',
4617
            'hdlType' => 'std_logic',
4618
            'width' => 1,
4619
          },
4620
          'reg04_rd' => {
4621
            'attributes' => {
4622
              'bin_pt' => 0,
4623
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
4624
              'is_floating_block' => 1,
4625
              'is_gateway_port' => 1,
4626
              'must_be_hdl_vector' => 1,
4627
              'period' => 1.0,
4628
              'port_id' => '0',
4629
              'simulinkName' => 'INOUT_LOGIC/reg04_rd',
4630
              'source_block' => 'INOUT_LOGIC',
4631
              'timingConstraint' => 'none',
4632
              'type' => 'UFix_32_0',
4633
            },
4634
            'direction' => 'out',
4635
            'hdlType' => 'std_logic_vector(31 downto 0)',
4636
            'width' => 32,
4637
          },
4638
          'reg04_rv' => {
4639
            'attributes' => {
4640
              'bin_pt' => 0,
4641
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
4642
              'is_floating_block' => 1,
4643
              'is_gateway_port' => 1,
4644
              'must_be_hdl_vector' => 1,
4645
              'period' => 1.0,
4646
              'port_id' => '0',
4647
              'simulinkName' => 'INOUT_LOGIC/reg04_rv',
4648
              'source_block' => 'INOUT_LOGIC',
4649
              'timingConstraint' => 'none',
4650
              'type' => 'UFix_1_0',
4651
            },
4652
            'direction' => 'out',
4653
            'hdlType' => 'std_logic',
4654
            'width' => 1,
4655
          },
4656
          'reg04_td' => {
4657
            'attributes' => {
4658
              'bin_pt' => 0,
4659
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
4660
              'is_floating_block' => 1,
4661
              'is_gateway_port' => 1,
4662
              'must_be_hdl_vector' => 1,
4663
              'period' => 1.0,
4664
              'port_id' => '0',
4665
              'simulinkName' => 'INOUT_LOGIC/reg04_td',
4666
              'source_block' => 'INOUT_LOGIC',
4667
              'timingConstraint' => 'none',
4668
              'type' => 'UFix_32_0',
4669
            },
4670
            'direction' => 'in',
4671
            'hdlType' => 'std_logic_vector(31 downto 0)',
4672
            'width' => 32,
4673
          },
4674
          'reg04_tv' => {
4675
            'attributes' => {
4676
              'bin_pt' => 0,
4677
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
4678
              'is_floating_block' => 1,
4679
              'is_gateway_port' => 1,
4680
              'must_be_hdl_vector' => 1,
4681
              'period' => 1.0,
4682
              'port_id' => '0',
4683
              'simulinkName' => 'INOUT_LOGIC/reg04_tv',
4684
              'source_block' => 'INOUT_LOGIC',
4685
              'timingConstraint' => 'none',
4686
              'type' => 'Bool',
4687
            },
4688
            'direction' => 'in',
4689
            'hdlType' => 'std_logic',
4690
            'width' => 1,
4691
          },
4692
          'reg05_rd' => {
4693
            'attributes' => {
4694
              'bin_pt' => 0,
4695
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
4696
              'is_floating_block' => 1,
4697
              'is_gateway_port' => 1,
4698
              'must_be_hdl_vector' => 1,
4699
              'period' => 1.0,
4700
              'port_id' => '0',
4701
              'simulinkName' => 'INOUT_LOGIC/reg05_rd',
4702
              'source_block' => 'INOUT_LOGIC',
4703
              'timingConstraint' => 'none',
4704
              'type' => 'UFix_32_0',
4705
            },
4706
            'direction' => 'out',
4707
            'hdlType' => 'std_logic_vector(31 downto 0)',
4708
            'width' => 32,
4709
          },
4710
          'reg05_rv' => {
4711
            'attributes' => {
4712
              'bin_pt' => 0,
4713
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
4714
              'is_floating_block' => 1,
4715
              'is_gateway_port' => 1,
4716
              'must_be_hdl_vector' => 1,
4717
              'period' => 1.0,
4718
              'port_id' => '0',
4719
              'simulinkName' => 'INOUT_LOGIC/reg05_rv',
4720
              'source_block' => 'INOUT_LOGIC',
4721
              'timingConstraint' => 'none',
4722
              'type' => 'UFix_1_0',
4723
            },
4724
            'direction' => 'out',
4725
            'hdlType' => 'std_logic',
4726
            'width' => 1,
4727
          },
4728
          'reg05_td' => {
4729
            'attributes' => {
4730
              'bin_pt' => 0,
4731
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
4732
              'is_floating_block' => 1,
4733
              'is_gateway_port' => 1,
4734
              'must_be_hdl_vector' => 1,
4735
              'period' => 1.0,
4736
              'port_id' => '0',
4737
              'simulinkName' => 'INOUT_LOGIC/reg05_td',
4738
              'source_block' => 'INOUT_LOGIC',
4739
              'timingConstraint' => 'none',
4740
              'type' => 'UFix_32_0',
4741
            },
4742
            'direction' => 'in',
4743
            'hdlType' => 'std_logic_vector(31 downto 0)',
4744
            'width' => 32,
4745
          },
4746
          'reg05_tv' => {
4747
            'attributes' => {
4748
              'bin_pt' => 0,
4749
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
4750
              'is_floating_block' => 1,
4751
              'is_gateway_port' => 1,
4752
              'must_be_hdl_vector' => 1,
4753
              'period' => 1.0,
4754
              'port_id' => '0',
4755
              'simulinkName' => 'INOUT_LOGIC/reg05_tv',
4756
              'source_block' => 'INOUT_LOGIC',
4757
              'timingConstraint' => 'none',
4758
              'type' => 'Bool',
4759
            },
4760
            'direction' => 'in',
4761
            'hdlType' => 'std_logic',
4762
            'width' => 1,
4763
          },
4764
          'reg06_rd' => {
4765
            'attributes' => {
4766
              'bin_pt' => 0,
4767
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
4768
              'is_floating_block' => 1,
4769
              'is_gateway_port' => 1,
4770
              'must_be_hdl_vector' => 1,
4771
              'period' => 1.0,
4772
              'port_id' => '0',
4773
              'simulinkName' => 'INOUT_LOGIC/reg06_rd',
4774
              'source_block' => 'INOUT_LOGIC',
4775
              'timingConstraint' => 'none',
4776
              'type' => 'UFix_32_0',
4777
            },
4778
            'direction' => 'out',
4779
            'hdlType' => 'std_logic_vector(31 downto 0)',
4780
            'width' => 32,
4781
          },
4782
          'reg06_rv' => {
4783
            'attributes' => {
4784
              'bin_pt' => 0,
4785
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
4786
              'is_floating_block' => 1,
4787
              'is_gateway_port' => 1,
4788
              'must_be_hdl_vector' => 1,
4789
              'period' => 1.0,
4790
              'port_id' => '0',
4791
              'simulinkName' => 'INOUT_LOGIC/reg06_rv',
4792
              'source_block' => 'INOUT_LOGIC',
4793
              'timingConstraint' => 'none',
4794
              'type' => 'UFix_1_0',
4795
            },
4796
            'direction' => 'out',
4797
            'hdlType' => 'std_logic',
4798
            'width' => 1,
4799
          },
4800
          'reg06_td' => {
4801
            'attributes' => {
4802
              'bin_pt' => 0,
4803
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
4804
              'is_floating_block' => 1,
4805
              'is_gateway_port' => 1,
4806
              'must_be_hdl_vector' => 1,
4807
              'period' => 1.0,
4808
              'port_id' => '0',
4809
              'simulinkName' => 'INOUT_LOGIC/reg06_td',
4810
              'source_block' => 'INOUT_LOGIC',
4811
              'timingConstraint' => 'none',
4812
              'type' => 'UFix_32_0',
4813
            },
4814
            'direction' => 'in',
4815
            'hdlType' => 'std_logic_vector(31 downto 0)',
4816
            'width' => 32,
4817
          },
4818
          'reg06_tv' => {
4819
            'attributes' => {
4820
              'bin_pt' => 0,
4821
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
4822
              'is_floating_block' => 1,
4823
              'is_gateway_port' => 1,
4824
              'must_be_hdl_vector' => 1,
4825
              'period' => 1.0,
4826
              'port_id' => '0',
4827
              'simulinkName' => 'INOUT_LOGIC/reg06_tv',
4828
              'source_block' => 'INOUT_LOGIC',
4829
              'timingConstraint' => 'none',
4830
              'type' => 'Bool',
4831
            },
4832
            'direction' => 'in',
4833
            'hdlType' => 'std_logic',
4834
            'width' => 1,
4835
          },
4836
          'reg07_rd' => {
4837
            'attributes' => {
4838
              'bin_pt' => 0,
4839
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
4840
              'is_floating_block' => 1,
4841
              'is_gateway_port' => 1,
4842
              'must_be_hdl_vector' => 1,
4843
              'period' => 1.0,
4844
              'port_id' => '0',
4845
              'simulinkName' => 'INOUT_LOGIC/reg07_rd',
4846
              'source_block' => 'INOUT_LOGIC',
4847
              'timingConstraint' => 'none',
4848
              'type' => 'UFix_32_0',
4849
            },
4850
            'direction' => 'out',
4851
            'hdlType' => 'std_logic_vector(31 downto 0)',
4852
            'width' => 32,
4853
          },
4854
          'reg07_rv' => {
4855
            'attributes' => {
4856
              'bin_pt' => 0,
4857
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
4858
              'is_floating_block' => 1,
4859
              'is_gateway_port' => 1,
4860
              'must_be_hdl_vector' => 1,
4861
              'period' => 1.0,
4862
              'port_id' => '0',
4863
              'simulinkName' => 'INOUT_LOGIC/reg07_rv',
4864
              'source_block' => 'INOUT_LOGIC',
4865
              'timingConstraint' => 'none',
4866
              'type' => 'UFix_1_0',
4867
            },
4868
            'direction' => 'out',
4869
            'hdlType' => 'std_logic',
4870
            'width' => 1,
4871
          },
4872
          'reg07_td' => {
4873
            'attributes' => {
4874
              'bin_pt' => 0,
4875
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
4876
              'is_floating_block' => 1,
4877
              'is_gateway_port' => 1,
4878
              'must_be_hdl_vector' => 1,
4879
              'period' => 1.0,
4880
              'port_id' => '0',
4881
              'simulinkName' => 'INOUT_LOGIC/reg07_td',
4882
              'source_block' => 'INOUT_LOGIC',
4883
              'timingConstraint' => 'none',
4884
              'type' => 'UFix_32_0',
4885
            },
4886
            'direction' => 'in',
4887
            'hdlType' => 'std_logic_vector(31 downto 0)',
4888
            'width' => 32,
4889
          },
4890
          'reg07_tv' => {
4891
            'attributes' => {
4892
              'bin_pt' => 0,
4893
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
4894
              'is_floating_block' => 1,
4895
              'is_gateway_port' => 1,
4896
              'must_be_hdl_vector' => 1,
4897
              'period' => 1.0,
4898
              'port_id' => '0',
4899
              'simulinkName' => 'INOUT_LOGIC/reg07_tv',
4900
              'source_block' => 'INOUT_LOGIC',
4901
              'timingConstraint' => 'none',
4902
              'type' => 'Bool',
4903
            },
4904
            'direction' => 'in',
4905
            'hdlType' => 'std_logic',
4906
            'width' => 1,
4907
          },
4908
          'reg08_rd' => {
4909
            'attributes' => {
4910
              'bin_pt' => 0,
4911
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
4912
              'is_floating_block' => 1,
4913
              'is_gateway_port' => 1,
4914
              'must_be_hdl_vector' => 1,
4915
              'period' => 1.0,
4916
              'port_id' => '0',
4917
              'simulinkName' => 'INOUT_LOGIC/reg08_rd',
4918
              'source_block' => 'INOUT_LOGIC',
4919
              'timingConstraint' => 'none',
4920
              'type' => 'UFix_32_0',
4921
            },
4922
            'direction' => 'out',
4923
            'hdlType' => 'std_logic_vector(31 downto 0)',
4924
            'width' => 32,
4925
          },
4926
          'reg08_rv' => {
4927
            'attributes' => {
4928
              'bin_pt' => 0,
4929
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
4930
              'is_floating_block' => 1,
4931
              'is_gateway_port' => 1,
4932
              'must_be_hdl_vector' => 1,
4933
              'period' => 1.0,
4934
              'port_id' => '0',
4935
              'simulinkName' => 'INOUT_LOGIC/reg08_rv',
4936
              'source_block' => 'INOUT_LOGIC',
4937
              'timingConstraint' => 'none',
4938
              'type' => 'UFix_1_0',
4939
            },
4940
            'direction' => 'out',
4941
            'hdlType' => 'std_logic',
4942
            'width' => 1,
4943
          },
4944
          'reg08_td' => {
4945
            'attributes' => {
4946
              'bin_pt' => 0,
4947
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
4948
              'is_floating_block' => 1,
4949
              'is_gateway_port' => 1,
4950
              'must_be_hdl_vector' => 1,
4951
              'period' => 1.0,
4952
              'port_id' => '0',
4953
              'simulinkName' => 'INOUT_LOGIC/reg08_td',
4954
              'source_block' => 'INOUT_LOGIC',
4955
              'timingConstraint' => 'none',
4956
              'type' => 'UFix_32_0',
4957
            },
4958
            'direction' => 'in',
4959
            'hdlType' => 'std_logic_vector(31 downto 0)',
4960
            'width' => 32,
4961
          },
4962
          'reg08_tv' => {
4963
            'attributes' => {
4964
              'bin_pt' => 0,
4965
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
4966
              'is_floating_block' => 1,
4967
              'is_gateway_port' => 1,
4968
              'must_be_hdl_vector' => 1,
4969
              'period' => 1.0,
4970
              'port_id' => '0',
4971
              'simulinkName' => 'INOUT_LOGIC/reg08_tv',
4972
              'source_block' => 'INOUT_LOGIC',
4973
              'timingConstraint' => 'none',
4974
              'type' => 'Bool',
4975
            },
4976
            'direction' => 'in',
4977
            'hdlType' => 'std_logic',
4978
            'width' => 1,
4979
          },
4980
          'reg09_rd' => {
4981
            'attributes' => {
4982
              'bin_pt' => 0,
4983
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
4984
              'is_floating_block' => 1,
4985
              'is_gateway_port' => 1,
4986
              'must_be_hdl_vector' => 1,
4987
              'period' => 1.0,
4988
              'port_id' => '0',
4989
              'simulinkName' => 'INOUT_LOGIC/reg09_rd',
4990
              'source_block' => 'INOUT_LOGIC',
4991
              'timingConstraint' => 'none',
4992
              'type' => 'UFix_32_0',
4993
            },
4994
            'direction' => 'out',
4995
            'hdlType' => 'std_logic_vector(31 downto 0)',
4996
            'width' => 32,
4997
          },
4998
          'reg09_rv' => {
4999
            'attributes' => {
5000
              'bin_pt' => 0,
5001
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
5002
              'is_floating_block' => 1,
5003
              'is_gateway_port' => 1,
5004
              'must_be_hdl_vector' => 1,
5005
              'period' => 1.0,
5006
              'port_id' => '0',
5007
              'simulinkName' => 'INOUT_LOGIC/reg09_rv',
5008
              'source_block' => 'INOUT_LOGIC',
5009
              'timingConstraint' => 'none',
5010
              'type' => 'UFix_1_0',
5011
            },
5012
            'direction' => 'out',
5013
            'hdlType' => 'std_logic',
5014
            'width' => 1,
5015
          },
5016
          'reg09_td' => {
5017
            'attributes' => {
5018
              'bin_pt' => 0,
5019
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
5020
              'is_floating_block' => 1,
5021
              'is_gateway_port' => 1,
5022
              'must_be_hdl_vector' => 1,
5023
              'period' => 1.0,
5024
              'port_id' => '0',
5025
              'simulinkName' => 'INOUT_LOGIC/reg09_td',
5026
              'source_block' => 'INOUT_LOGIC',
5027
              'timingConstraint' => 'none',
5028
              'type' => 'UFix_32_0',
5029
            },
5030
            'direction' => 'in',
5031
            'hdlType' => 'std_logic_vector(31 downto 0)',
5032
            'width' => 32,
5033
          },
5034
          'reg09_tv' => {
5035
            'attributes' => {
5036
              'bin_pt' => 0,
5037
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
5038
              'is_floating_block' => 1,
5039
              'is_gateway_port' => 1,
5040
              'must_be_hdl_vector' => 1,
5041
              'period' => 1.0,
5042
              'port_id' => '0',
5043
              'simulinkName' => 'INOUT_LOGIC/reg09_tv',
5044
              'source_block' => 'INOUT_LOGIC',
5045
              'timingConstraint' => 'none',
5046
              'type' => 'Bool',
5047
            },
5048
            'direction' => 'in',
5049
            'hdlType' => 'std_logic',
5050
            'width' => 1,
5051
          },
5052
          'reg10_rd' => {
5053
            'attributes' => {
5054
              'bin_pt' => 0,
5055
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
5056
              'is_floating_block' => 1,
5057
              'is_gateway_port' => 1,
5058
              'must_be_hdl_vector' => 1,
5059
              'period' => 1.0,
5060
              'port_id' => '0',
5061
              'simulinkName' => 'INOUT_LOGIC/reg10_rd',
5062
              'source_block' => 'INOUT_LOGIC',
5063
              'timingConstraint' => 'none',
5064
              'type' => 'UFix_32_0',
5065
            },
5066
            'direction' => 'out',
5067
            'hdlType' => 'std_logic_vector(31 downto 0)',
5068
            'width' => 32,
5069
          },
5070
          'reg10_rv' => {
5071
            'attributes' => {
5072
              'bin_pt' => 0,
5073
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
5074
              'is_floating_block' => 1,
5075
              'is_gateway_port' => 1,
5076
              'must_be_hdl_vector' => 1,
5077
              'period' => 1.0,
5078
              'port_id' => '0',
5079
              'simulinkName' => 'INOUT_LOGIC/reg10_rv',
5080
              'source_block' => 'INOUT_LOGIC',
5081
              'timingConstraint' => 'none',
5082
              'type' => 'UFix_1_0',
5083
            },
5084
            'direction' => 'out',
5085
            'hdlType' => 'std_logic',
5086
            'width' => 1,
5087
          },
5088
          'reg10_td' => {
5089
            'attributes' => {
5090
              'bin_pt' => 0,
5091
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
5092
              'is_floating_block' => 1,
5093
              'is_gateway_port' => 1,
5094
              'must_be_hdl_vector' => 1,
5095
              'period' => 1.0,
5096
              'port_id' => '0',
5097
              'simulinkName' => 'INOUT_LOGIC/reg10_td',
5098
              'source_block' => 'INOUT_LOGIC',
5099
              'timingConstraint' => 'none',
5100
              'type' => 'UFix_32_0',
5101
            },
5102
            'direction' => 'in',
5103
            'hdlType' => 'std_logic_vector(31 downto 0)',
5104
            'width' => 32,
5105
          },
5106
          'reg10_tv' => {
5107
            'attributes' => {
5108
              'bin_pt' => 0,
5109
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
5110
              'is_floating_block' => 1,
5111
              'is_gateway_port' => 1,
5112
              'must_be_hdl_vector' => 1,
5113
              'period' => 1.0,
5114
              'port_id' => '0',
5115
              'simulinkName' => 'INOUT_LOGIC/reg10_tv',
5116
              'source_block' => 'INOUT_LOGIC',
5117
              'timingConstraint' => 'none',
5118
              'type' => 'Bool',
5119
            },
5120
            'direction' => 'in',
5121
            'hdlType' => 'std_logic',
5122
            'width' => 1,
5123
          },
5124
          'reg11_rd' => {
5125
            'attributes' => {
5126
              'bin_pt' => 0,
5127
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
5128
              'is_floating_block' => 1,
5129
              'is_gateway_port' => 1,
5130
              'must_be_hdl_vector' => 1,
5131
              'period' => 1.0,
5132
              'port_id' => '0',
5133
              'simulinkName' => 'INOUT_LOGIC/reg11_rd',
5134
              'source_block' => 'INOUT_LOGIC',
5135
              'timingConstraint' => 'none',
5136
              'type' => 'UFix_32_0',
5137
            },
5138
            'direction' => 'out',
5139
            'hdlType' => 'std_logic_vector(31 downto 0)',
5140
            'width' => 32,
5141
          },
5142
          'reg11_rv' => {
5143
            'attributes' => {
5144
              'bin_pt' => 0,
5145
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
5146
              'is_floating_block' => 1,
5147
              'is_gateway_port' => 1,
5148
              'must_be_hdl_vector' => 1,
5149
              'period' => 1.0,
5150
              'port_id' => '0',
5151
              'simulinkName' => 'INOUT_LOGIC/reg11_rv',
5152
              'source_block' => 'INOUT_LOGIC',
5153
              'timingConstraint' => 'none',
5154
              'type' => 'UFix_1_0',
5155
            },
5156
            'direction' => 'out',
5157
            'hdlType' => 'std_logic',
5158
            'width' => 1,
5159
          },
5160
          'reg11_td' => {
5161
            'attributes' => {
5162
              'bin_pt' => 0,
5163
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
5164
              'is_floating_block' => 1,
5165
              'is_gateway_port' => 1,
5166
              'must_be_hdl_vector' => 1,
5167
              'period' => 1.0,
5168
              'port_id' => '0',
5169
              'simulinkName' => 'INOUT_LOGIC/reg11_td',
5170
              'source_block' => 'INOUT_LOGIC',
5171
              'timingConstraint' => 'none',
5172
              'type' => 'UFix_32_0',
5173
            },
5174
            'direction' => 'in',
5175
            'hdlType' => 'std_logic_vector(31 downto 0)',
5176
            'width' => 32,
5177
          },
5178
          'reg11_tv' => {
5179
            'attributes' => {
5180
              'bin_pt' => 0,
5181
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
5182
              'is_floating_block' => 1,
5183
              'is_gateway_port' => 1,
5184
              'must_be_hdl_vector' => 1,
5185
              'period' => 1.0,
5186
              'port_id' => '0',
5187
              'simulinkName' => 'INOUT_LOGIC/reg11_tv',
5188
              'source_block' => 'INOUT_LOGIC',
5189
              'timingConstraint' => 'none',
5190
              'type' => 'Bool',
5191
            },
5192
            'direction' => 'in',
5193
            'hdlType' => 'std_logic',
5194
            'width' => 1,
5195
          },
5196
          'reg12_rd' => {
5197
            'attributes' => {
5198
              'bin_pt' => 0,
5199
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
5200
              'is_floating_block' => 1,
5201
              'is_gateway_port' => 1,
5202
              'must_be_hdl_vector' => 1,
5203
              'period' => 1.0,
5204
              'port_id' => '0',
5205
              'simulinkName' => 'INOUT_LOGIC/reg12_rd',
5206
              'source_block' => 'INOUT_LOGIC',
5207
              'timingConstraint' => 'none',
5208
              'type' => 'UFix_32_0',
5209
            },
5210
            'direction' => 'out',
5211
            'hdlType' => 'std_logic_vector(31 downto 0)',
5212
            'width' => 32,
5213
          },
5214
          'reg12_rv' => {
5215
            'attributes' => {
5216
              'bin_pt' => 0,
5217
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
5218
              'is_floating_block' => 1,
5219
              'is_gateway_port' => 1,
5220
              'must_be_hdl_vector' => 1,
5221
              'period' => 1.0,
5222
              'port_id' => '0',
5223
              'simulinkName' => 'INOUT_LOGIC/reg12_rv',
5224
              'source_block' => 'INOUT_LOGIC',
5225
              'timingConstraint' => 'none',
5226
              'type' => 'UFix_1_0',
5227
            },
5228
            'direction' => 'out',
5229
            'hdlType' => 'std_logic',
5230
            'width' => 1,
5231
          },
5232
          'reg12_td' => {
5233
            'attributes' => {
5234
              'bin_pt' => 0,
5235
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
5236
              'is_floating_block' => 1,
5237
              'is_gateway_port' => 1,
5238
              'must_be_hdl_vector' => 1,
5239
              'period' => 1.0,
5240
              'port_id' => '0',
5241
              'simulinkName' => 'INOUT_LOGIC/reg12_td',
5242
              'source_block' => 'INOUT_LOGIC',
5243
              'timingConstraint' => 'none',
5244
              'type' => 'UFix_32_0',
5245
            },
5246
            'direction' => 'in',
5247
            'hdlType' => 'std_logic_vector(31 downto 0)',
5248
            'width' => 32,
5249
          },
5250
          'reg12_tv' => {
5251
            'attributes' => {
5252
              'bin_pt' => 0,
5253
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
5254
              'is_floating_block' => 1,
5255
              'is_gateway_port' => 1,
5256
              'must_be_hdl_vector' => 1,
5257
              'period' => 1.0,
5258
              'port_id' => '0',
5259
              'simulinkName' => 'INOUT_LOGIC/reg12_tv',
5260
              'source_block' => 'INOUT_LOGIC',
5261
              'timingConstraint' => 'none',
5262
              'type' => 'Bool',
5263
            },
5264
            'direction' => 'in',
5265
            'hdlType' => 'std_logic',
5266
            'width' => 1,
5267
          },
5268
          'reg13_rd' => {
5269
            'attributes' => {
5270
              'bin_pt' => 0,
5271
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
5272
              'is_floating_block' => 1,
5273
              'is_gateway_port' => 1,
5274
              'must_be_hdl_vector' => 1,
5275
              'period' => 1.0,
5276
              'port_id' => '0',
5277
              'simulinkName' => 'INOUT_LOGIC/reg13_rd',
5278
              'source_block' => 'INOUT_LOGIC',
5279
              'timingConstraint' => 'none',
5280
              'type' => 'UFix_32_0',
5281
            },
5282
            'direction' => 'out',
5283
            'hdlType' => 'std_logic_vector(31 downto 0)',
5284
            'width' => 32,
5285
          },
5286
          'reg13_rv' => {
5287
            'attributes' => {
5288
              'bin_pt' => 0,
5289
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
5290
              'is_floating_block' => 1,
5291
              'is_gateway_port' => 1,
5292
              'must_be_hdl_vector' => 1,
5293
              'period' => 1.0,
5294
              'port_id' => '0',
5295
              'simulinkName' => 'INOUT_LOGIC/reg13_rv',
5296
              'source_block' => 'INOUT_LOGIC',
5297
              'timingConstraint' => 'none',
5298
              'type' => 'UFix_1_0',
5299
            },
5300
            'direction' => 'out',
5301
            'hdlType' => 'std_logic',
5302
            'width' => 1,
5303
          },
5304
          'reg13_td' => {
5305
            'attributes' => {
5306
              'bin_pt' => 0,
5307
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
5308
              'is_floating_block' => 1,
5309
              'is_gateway_port' => 1,
5310
              'must_be_hdl_vector' => 1,
5311
              'period' => 1.0,
5312
              'port_id' => '0',
5313
              'simulinkName' => 'INOUT_LOGIC/reg13_td',
5314
              'source_block' => 'INOUT_LOGIC',
5315
              'timingConstraint' => 'none',
5316
              'type' => 'UFix_32_0',
5317
            },
5318
            'direction' => 'in',
5319
            'hdlType' => 'std_logic_vector(31 downto 0)',
5320
            'width' => 32,
5321
          },
5322
          'reg13_tv' => {
5323
            'attributes' => {
5324
              'bin_pt' => 0,
5325
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
5326
              'is_floating_block' => 1,
5327
              'is_gateway_port' => 1,
5328
              'must_be_hdl_vector' => 1,
5329
              'period' => 1.0,
5330
              'port_id' => '0',
5331
              'simulinkName' => 'INOUT_LOGIC/reg13_tv',
5332
              'source_block' => 'INOUT_LOGIC',
5333
              'timingConstraint' => 'none',
5334
              'type' => 'Bool',
5335
            },
5336
            'direction' => 'in',
5337
            'hdlType' => 'std_logic',
5338
            'width' => 1,
5339
          },
5340
          'reg14_rd' => {
5341
            'attributes' => {
5342
              'bin_pt' => 0,
5343
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
5344
              'is_floating_block' => 1,
5345
              'is_gateway_port' => 1,
5346
              'must_be_hdl_vector' => 1,
5347
              'period' => 1.0,
5348
              'port_id' => '0',
5349
              'simulinkName' => 'INOUT_LOGIC/reg14_rd',
5350
              'source_block' => 'INOUT_LOGIC',
5351
              'timingConstraint' => 'none',
5352
              'type' => 'UFix_32_0',
5353
            },
5354
            'direction' => 'out',
5355
            'hdlType' => 'std_logic_vector(31 downto 0)',
5356
            'width' => 32,
5357
          },
5358
          'reg14_rv' => {
5359
            'attributes' => {
5360
              'bin_pt' => 0,
5361
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
5362
              'is_floating_block' => 1,
5363
              'is_gateway_port' => 1,
5364
              'must_be_hdl_vector' => 1,
5365
              'period' => 1.0,
5366
              'port_id' => '0',
5367
              'simulinkName' => 'INOUT_LOGIC/reg14_rv',
5368
              'source_block' => 'INOUT_LOGIC',
5369
              'timingConstraint' => 'none',
5370
              'type' => 'UFix_1_0',
5371
            },
5372
            'direction' => 'out',
5373
            'hdlType' => 'std_logic',
5374
            'width' => 1,
5375
          },
5376
          'reg14_td' => {
5377
            'attributes' => {
5378
              'bin_pt' => 0,
5379
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
5380
              'is_floating_block' => 1,
5381
              'is_gateway_port' => 1,
5382
              'must_be_hdl_vector' => 1,
5383
              'period' => 1.0,
5384
              'port_id' => '0',
5385
              'simulinkName' => 'INOUT_LOGIC/reg14_td',
5386
              'source_block' => 'INOUT_LOGIC',
5387
              'timingConstraint' => 'none',
5388
              'type' => 'UFix_32_0',
5389
            },
5390
            'direction' => 'in',
5391
            'hdlType' => 'std_logic_vector(31 downto 0)',
5392
            'width' => 32,
5393
          },
5394
          'reg14_tv' => {
5395
            'attributes' => {
5396
              'bin_pt' => 0,
5397
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
5398
              'is_floating_block' => 1,
5399
              'is_gateway_port' => 1,
5400
              'must_be_hdl_vector' => 1,
5401
              'period' => 1.0,
5402
              'port_id' => '0',
5403
              'simulinkName' => 'INOUT_LOGIC/reg14_tv',
5404
              'source_block' => 'INOUT_LOGIC',
5405
              'timingConstraint' => 'none',
5406
              'type' => 'Bool',
5407
            },
5408
            'direction' => 'in',
5409
            'hdlType' => 'std_logic',
5410
            'width' => 1,
5411
          },
5412
        },
5413
      },
5414
      'entityName' => 'inout_logic',
5415
    },
5416
    'reg01_rd' => {
5417
      'connections' => { 'reg01_rd' => 'from_register3_data_out_net_x0', },
5418
      'entity' => {
5419
        'attributes' => {
5420
          'isGateway' => 1,
5421
          'is_floating_block' => 1,
5422
        },
5423
        'entityName' => 'reg01_rd',
5424
        'ports' => {
5425
          'reg01_rd' => {
5426
            'attributes' => {
5427
              'bin_pt' => 0,
5428
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
5429
              'is_floating_block' => 1,
5430
              'is_gateway_port' => 1,
5431
              'must_be_hdl_vector' => 1,
5432
              'period' => 1.0,
5433
              'port_id' => '0',
5434
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd/reg01_rd',
5435
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd',
5436
              'timingConstraint' => 'none',
5437
              'type' => 'UFix_32_0',
5438
            },
5439
            'direction' => 'in',
5440
            'hdlType' => 'std_logic_vector(31 downto 0)',
5441
            'width' => 32,
5442
          },
5443
        },
5444
      },
5445
      'entityName' => 'reg01_rd',
5446
    },
5447
    'reg01_rv' => {
5448
      'connections' => { 'reg01_rv' => 'from_register1_data_out_net_x0', },
5449
      'entity' => {
5450
        'attributes' => {
5451
          'isGateway' => 1,
5452
          'is_floating_block' => 1,
5453
        },
5454
        'entityName' => 'reg01_rv',
5455
        'ports' => {
5456
          'reg01_rv' => {
5457
            'attributes' => {
5458
              'bin_pt' => 0,
5459
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
5460
              'is_floating_block' => 1,
5461
              'is_gateway_port' => 1,
5462
              'must_be_hdl_vector' => 1,
5463
              'period' => 1.0,
5464
              'port_id' => '0',
5465
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv/reg01_rv',
5466
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv',
5467
              'timingConstraint' => 'none',
5468
              'type' => 'UFix_1_0',
5469
            },
5470
            'direction' => 'in',
5471
            'hdlType' => 'std_logic',
5472
            'width' => 1,
5473
          },
5474
        },
5475
      },
5476
      'entityName' => 'reg01_rv',
5477
    },
5478
    'reg01_td' => {
5479
      'connections' => { 'reg01_td' => 'reg01_td_net', },
5480
      'entity' => {
5481
        'attributes' => {
5482
          'isGateway' => 1,
5483
          'is_floating_block' => 1,
5484
        },
5485
        'entityName' => 'reg01_td',
5486
        'ports' => {
5487
          'reg01_td' => {
5488
            'attributes' => {
5489
              'bin_pt' => 0,
5490
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
5491
              'is_floating_block' => 1,
5492
              'is_gateway_port' => 1,
5493
              'must_be_hdl_vector' => 1,
5494
              'period' => 1.0,
5495
              'port_id' => '0',
5496
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td/reg01_td',
5497
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td',
5498
              'timingConstraint' => 'none',
5499
              'type' => 'UFix_32_0',
5500
            },
5501
            'direction' => 'out',
5502
            'hdlType' => 'std_logic_vector(31 downto 0)',
5503
            'width' => 32,
5504
          },
5505
        },
5506
      },
5507
      'entityName' => 'reg01_td',
5508
    },
5509
    'reg01_tv' => {
5510
      'connections' => { 'reg01_tv' => 'reg01_tv_net', },
5511
      'entity' => {
5512
        'attributes' => {
5513
          'isGateway' => 1,
5514
          'is_floating_block' => 1,
5515
        },
5516
        'entityName' => 'reg01_tv',
5517
        'ports' => {
5518
          'reg01_tv' => {
5519
            'attributes' => {
5520
              'bin_pt' => 0,
5521
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
5522
              'is_floating_block' => 1,
5523
              'is_gateway_port' => 1,
5524
              'must_be_hdl_vector' => 1,
5525
              'period' => 1.0,
5526
              'port_id' => '0',
5527
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv/reg01_tv',
5528
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv',
5529
              'timingConstraint' => 'none',
5530
              'type' => 'Bool',
5531
            },
5532
            'direction' => 'out',
5533
            'hdlType' => 'std_logic',
5534
            'width' => 1,
5535
          },
5536
        },
5537
      },
5538
      'entityName' => 'reg01_tv',
5539
    },
5540
    'reg02_rd' => {
5541
      'connections' => { 'reg02_rd' => 'from_register5_data_out_net_x0', },
5542
      'entity' => {
5543
        'attributes' => {
5544
          'isGateway' => 1,
5545
          'is_floating_block' => 1,
5546
        },
5547
        'entityName' => 'reg02_rd',
5548
        'ports' => {
5549
          'reg02_rd' => {
5550
            'attributes' => {
5551
              'bin_pt' => 0,
5552
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
5553
              'is_floating_block' => 1,
5554
              'is_gateway_port' => 1,
5555
              'must_be_hdl_vector' => 1,
5556
              'period' => 1.0,
5557
              'port_id' => '0',
5558
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd/reg02_rd',
5559
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd',
5560
              'timingConstraint' => 'none',
5561
              'type' => 'UFix_32_0',
5562
            },
5563
            'direction' => 'in',
5564
            'hdlType' => 'std_logic_vector(31 downto 0)',
5565
            'width' => 32,
5566
          },
5567
        },
5568
      },
5569
      'entityName' => 'reg02_rd',
5570
    },
5571
    'reg02_rv' => {
5572
      'connections' => { 'reg02_rv' => 'from_register2_data_out_net_x0', },
5573
      'entity' => {
5574
        'attributes' => {
5575
          'isGateway' => 1,
5576
          'is_floating_block' => 1,
5577
        },
5578
        'entityName' => 'reg02_rv',
5579
        'ports' => {
5580
          'reg02_rv' => {
5581
            'attributes' => {
5582
              'bin_pt' => 0,
5583
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
5584
              'is_floating_block' => 1,
5585
              'is_gateway_port' => 1,
5586
              'must_be_hdl_vector' => 1,
5587
              'period' => 1.0,
5588
              'port_id' => '0',
5589
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv/reg02_rv',
5590
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv',
5591
              'timingConstraint' => 'none',
5592
              'type' => 'UFix_1_0',
5593
            },
5594
            'direction' => 'in',
5595
            'hdlType' => 'std_logic',
5596
            'width' => 1,
5597
          },
5598
        },
5599
      },
5600
      'entityName' => 'reg02_rv',
5601
    },
5602
    'reg02_td' => {
5603
      'connections' => { 'reg02_td' => 'reg02_td_net', },
5604
      'entity' => {
5605
        'attributes' => {
5606
          'isGateway' => 1,
5607
          'is_floating_block' => 1,
5608
        },
5609
        'entityName' => 'reg02_td',
5610
        'ports' => {
5611
          'reg02_td' => {
5612
            'attributes' => {
5613
              'bin_pt' => 0,
5614
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
5615
              'is_floating_block' => 1,
5616
              'is_gateway_port' => 1,
5617
              'must_be_hdl_vector' => 1,
5618
              'period' => 1.0,
5619
              'port_id' => '0',
5620
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td/reg02_td',
5621
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td',
5622
              'timingConstraint' => 'none',
5623
              'type' => 'UFix_32_0',
5624
            },
5625
            'direction' => 'out',
5626
            'hdlType' => 'std_logic_vector(31 downto 0)',
5627
            'width' => 32,
5628
          },
5629
        },
5630
      },
5631
      'entityName' => 'reg02_td',
5632
    },
5633
    'reg02_tv' => {
5634
      'connections' => { 'reg02_tv' => 'reg02_tv_net', },
5635
      'entity' => {
5636
        'attributes' => {
5637
          'isGateway' => 1,
5638
          'is_floating_block' => 1,
5639
        },
5640
        'entityName' => 'reg02_tv',
5641
        'ports' => {
5642
          'reg02_tv' => {
5643
            'attributes' => {
5644
              'bin_pt' => 0,
5645
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
5646
              'is_floating_block' => 1,
5647
              'is_gateway_port' => 1,
5648
              'must_be_hdl_vector' => 1,
5649
              'period' => 1.0,
5650
              'port_id' => '0',
5651
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv/reg02_tv',
5652
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv',
5653
              'timingConstraint' => 'none',
5654
              'type' => 'Bool',
5655
            },
5656
            'direction' => 'out',
5657
            'hdlType' => 'std_logic',
5658
            'width' => 1,
5659
          },
5660
        },
5661
      },
5662
      'entityName' => 'reg02_tv',
5663
    },
5664
    'reg03_rd' => {
5665
      'connections' => { 'reg03_rd' => 'from_register7_data_out_net_x0', },
5666
      'entity' => {
5667
        'attributes' => {
5668
          'isGateway' => 1,
5669
          'is_floating_block' => 1,
5670
        },
5671
        'entityName' => 'reg03_rd',
5672
        'ports' => {
5673
          'reg03_rd' => {
5674
            'attributes' => {
5675
              'bin_pt' => 0,
5676
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
5677
              'is_floating_block' => 1,
5678
              'is_gateway_port' => 1,
5679
              'must_be_hdl_vector' => 1,
5680
              'period' => 1.0,
5681
              'port_id' => '0',
5682
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd/reg03_rd',
5683
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd',
5684
              'timingConstraint' => 'none',
5685
              'type' => 'UFix_32_0',
5686
            },
5687
            'direction' => 'in',
5688
            'hdlType' => 'std_logic_vector(31 downto 0)',
5689
            'width' => 32,
5690
          },
5691
        },
5692
      },
5693
      'entityName' => 'reg03_rd',
5694
    },
5695
    'reg03_rv' => {
5696
      'connections' => { 'reg03_rv' => 'from_register6_data_out_net_x0', },
5697
      'entity' => {
5698
        'attributes' => {
5699
          'isGateway' => 1,
5700
          'is_floating_block' => 1,
5701
        },
5702
        'entityName' => 'reg03_rv',
5703
        'ports' => {
5704
          'reg03_rv' => {
5705
            'attributes' => {
5706
              'bin_pt' => 0,
5707
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
5708
              'is_floating_block' => 1,
5709
              'is_gateway_port' => 1,
5710
              'must_be_hdl_vector' => 1,
5711
              'period' => 1.0,
5712
              'port_id' => '0',
5713
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv/reg03_rv',
5714
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv',
5715
              'timingConstraint' => 'none',
5716
              'type' => 'UFix_1_0',
5717
            },
5718
            'direction' => 'in',
5719
            'hdlType' => 'std_logic',
5720
            'width' => 1,
5721
          },
5722
        },
5723
      },
5724
      'entityName' => 'reg03_rv',
5725
    },
5726
    'reg03_td' => {
5727
      'connections' => { 'reg03_td' => 'reg03_td_net', },
5728
      'entity' => {
5729
        'attributes' => {
5730
          'isGateway' => 1,
5731
          'is_floating_block' => 1,
5732
        },
5733
        'entityName' => 'reg03_td',
5734
        'ports' => {
5735
          'reg03_td' => {
5736
            'attributes' => {
5737
              'bin_pt' => 0,
5738
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
5739
              'is_floating_block' => 1,
5740
              'is_gateway_port' => 1,
5741
              'must_be_hdl_vector' => 1,
5742
              'period' => 1.0,
5743
              'port_id' => '0',
5744
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td/reg03_td',
5745
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td',
5746
              'timingConstraint' => 'none',
5747
              'type' => 'UFix_32_0',
5748
            },
5749
            'direction' => 'out',
5750
            'hdlType' => 'std_logic_vector(31 downto 0)',
5751
            'width' => 32,
5752
          },
5753
        },
5754
      },
5755
      'entityName' => 'reg03_td',
5756
    },
5757
    'reg03_tv' => {
5758
      'connections' => { 'reg03_tv' => 'reg03_tv_net', },
5759
      'entity' => {
5760
        'attributes' => {
5761
          'isGateway' => 1,
5762
          'is_floating_block' => 1,
5763
        },
5764
        'entityName' => 'reg03_tv',
5765
        'ports' => {
5766
          'reg03_tv' => {
5767
            'attributes' => {
5768
              'bin_pt' => 0,
5769
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
5770
              'is_floating_block' => 1,
5771
              'is_gateway_port' => 1,
5772
              'must_be_hdl_vector' => 1,
5773
              'period' => 1.0,
5774
              'port_id' => '0',
5775
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv/reg03_tv',
5776
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv',
5777
              'timingConstraint' => 'none',
5778
              'type' => 'Bool',
5779
            },
5780
            'direction' => 'out',
5781
            'hdlType' => 'std_logic',
5782
            'width' => 1,
5783
          },
5784
        },
5785
      },
5786
      'entityName' => 'reg03_tv',
5787
    },
5788
    'reg04_rd' => {
5789
      'connections' => { 'reg04_rd' => 'from_register8_data_out_net_x0', },
5790
      'entity' => {
5791
        'attributes' => {
5792
          'isGateway' => 1,
5793
          'is_floating_block' => 1,
5794
        },
5795
        'entityName' => 'reg04_rd',
5796
        'ports' => {
5797
          'reg04_rd' => {
5798
            'attributes' => {
5799
              'bin_pt' => 0,
5800
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
5801
              'is_floating_block' => 1,
5802
              'is_gateway_port' => 1,
5803
              'must_be_hdl_vector' => 1,
5804
              'period' => 1.0,
5805
              'port_id' => '0',
5806
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd/reg04_rd',
5807
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd',
5808
              'timingConstraint' => 'none',
5809
              'type' => 'UFix_32_0',
5810
            },
5811
            'direction' => 'in',
5812
            'hdlType' => 'std_logic_vector(31 downto 0)',
5813
            'width' => 32,
5814
          },
5815
        },
5816
      },
5817
      'entityName' => 'reg04_rd',
5818
    },
5819
    'reg04_rv' => {
5820
      'connections' => { 'reg04_rv' => 'from_register4_data_out_net_x0', },
5821
      'entity' => {
5822
        'attributes' => {
5823
          'isGateway' => 1,
5824
          'is_floating_block' => 1,
5825
        },
5826
        'entityName' => 'reg04_rv',
5827
        'ports' => {
5828
          'reg04_rv' => {
5829
            'attributes' => {
5830
              'bin_pt' => 0,
5831
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
5832
              'is_floating_block' => 1,
5833
              'is_gateway_port' => 1,
5834
              'must_be_hdl_vector' => 1,
5835
              'period' => 1.0,
5836
              'port_id' => '0',
5837
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv/reg04_rv',
5838
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv',
5839
              'timingConstraint' => 'none',
5840
              'type' => 'UFix_1_0',
5841
            },
5842
            'direction' => 'in',
5843
            'hdlType' => 'std_logic',
5844
            'width' => 1,
5845
          },
5846
        },
5847
      },
5848
      'entityName' => 'reg04_rv',
5849
    },
5850
    'reg04_td' => {
5851
      'connections' => { 'reg04_td' => 'reg04_td_net', },
5852
      'entity' => {
5853
        'attributes' => {
5854
          'isGateway' => 1,
5855
          'is_floating_block' => 1,
5856
        },
5857
        'entityName' => 'reg04_td',
5858
        'ports' => {
5859
          'reg04_td' => {
5860
            'attributes' => {
5861
              'bin_pt' => 0,
5862
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
5863
              'is_floating_block' => 1,
5864
              'is_gateway_port' => 1,
5865
              'must_be_hdl_vector' => 1,
5866
              'period' => 1.0,
5867
              'port_id' => '0',
5868
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td/reg04_td',
5869
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td',
5870
              'timingConstraint' => 'none',
5871
              'type' => 'UFix_32_0',
5872
            },
5873
            'direction' => 'out',
5874
            'hdlType' => 'std_logic_vector(31 downto 0)',
5875
            'width' => 32,
5876
          },
5877
        },
5878
      },
5879
      'entityName' => 'reg04_td',
5880
    },
5881
    'reg04_tv' => {
5882
      'connections' => { 'reg04_tv' => 'reg04_tv_net', },
5883
      'entity' => {
5884
        'attributes' => {
5885
          'isGateway' => 1,
5886
          'is_floating_block' => 1,
5887
        },
5888
        'entityName' => 'reg04_tv',
5889
        'ports' => {
5890
          'reg04_tv' => {
5891
            'attributes' => {
5892
              'bin_pt' => 0,
5893
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
5894
              'is_floating_block' => 1,
5895
              'is_gateway_port' => 1,
5896
              'must_be_hdl_vector' => 1,
5897
              'period' => 1.0,
5898
              'port_id' => '0',
5899
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv/reg04_tv',
5900
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv',
5901
              'timingConstraint' => 'none',
5902
              'type' => 'Bool',
5903
            },
5904
            'direction' => 'out',
5905
            'hdlType' => 'std_logic',
5906
            'width' => 1,
5907
          },
5908
        },
5909
      },
5910
      'entityName' => 'reg04_tv',
5911
    },
5912
    'reg05_rd' => {
5913
      'connections' => { 'reg05_rd' => 'from_register10_data_out_net_x0', },
5914
      'entity' => {
5915
        'attributes' => {
5916
          'isGateway' => 1,
5917
          'is_floating_block' => 1,
5918
        },
5919
        'entityName' => 'reg05_rd',
5920
        'ports' => {
5921
          'reg05_rd' => {
5922
            'attributes' => {
5923
              'bin_pt' => 0,
5924
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
5925
              'is_floating_block' => 1,
5926
              'is_gateway_port' => 1,
5927
              'must_be_hdl_vector' => 1,
5928
              'period' => 1.0,
5929
              'port_id' => '0',
5930
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd/reg05_rd',
5931
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd',
5932
              'timingConstraint' => 'none',
5933
              'type' => 'UFix_32_0',
5934
            },
5935
            'direction' => 'in',
5936
            'hdlType' => 'std_logic_vector(31 downto 0)',
5937
            'width' => 32,
5938
          },
5939
        },
5940
      },
5941
      'entityName' => 'reg05_rd',
5942
    },
5943
    'reg05_rv' => {
5944
      'connections' => { 'reg05_rv' => 'from_register9_data_out_net_x0', },
5945
      'entity' => {
5946
        'attributes' => {
5947
          'isGateway' => 1,
5948
          'is_floating_block' => 1,
5949
        },
5950
        'entityName' => 'reg05_rv',
5951
        'ports' => {
5952
          'reg05_rv' => {
5953
            'attributes' => {
5954
              'bin_pt' => 0,
5955
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
5956
              'is_floating_block' => 1,
5957
              'is_gateway_port' => 1,
5958
              'must_be_hdl_vector' => 1,
5959
              'period' => 1.0,
5960
              'port_id' => '0',
5961
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv/reg05_rv',
5962
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv',
5963
              'timingConstraint' => 'none',
5964
              'type' => 'UFix_1_0',
5965
            },
5966
            'direction' => 'in',
5967
            'hdlType' => 'std_logic',
5968
            'width' => 1,
5969
          },
5970
        },
5971
      },
5972
      'entityName' => 'reg05_rv',
5973
    },
5974
    'reg05_td' => {
5975
      'connections' => { 'reg05_td' => 'reg05_td_net', },
5976
      'entity' => {
5977
        'attributes' => {
5978
          'isGateway' => 1,
5979
          'is_floating_block' => 1,
5980
        },
5981
        'entityName' => 'reg05_td',
5982
        'ports' => {
5983
          'reg05_td' => {
5984
            'attributes' => {
5985
              'bin_pt' => 0,
5986
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
5987
              'is_floating_block' => 1,
5988
              'is_gateway_port' => 1,
5989
              'must_be_hdl_vector' => 1,
5990
              'period' => 1.0,
5991
              'port_id' => '0',
5992
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td/reg05_td',
5993
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td',
5994
              'timingConstraint' => 'none',
5995
              'type' => 'UFix_32_0',
5996
            },
5997
            'direction' => 'out',
5998
            'hdlType' => 'std_logic_vector(31 downto 0)',
5999
            'width' => 32,
6000
          },
6001
        },
6002
      },
6003
      'entityName' => 'reg05_td',
6004
    },
6005
    'reg05_tv' => {
6006
      'connections' => { 'reg05_tv' => 'reg05_tv_net', },
6007
      'entity' => {
6008
        'attributes' => {
6009
          'isGateway' => 1,
6010
          'is_floating_block' => 1,
6011
        },
6012
        'entityName' => 'reg05_tv',
6013
        'ports' => {
6014
          'reg05_tv' => {
6015
            'attributes' => {
6016
              'bin_pt' => 0,
6017
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
6018
              'is_floating_block' => 1,
6019
              'is_gateway_port' => 1,
6020
              'must_be_hdl_vector' => 1,
6021
              'period' => 1.0,
6022
              'port_id' => '0',
6023
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv/reg05_tv',
6024
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv',
6025
              'timingConstraint' => 'none',
6026
              'type' => 'Bool',
6027
            },
6028
            'direction' => 'out',
6029
            'hdlType' => 'std_logic',
6030
            'width' => 1,
6031
          },
6032
        },
6033
      },
6034
      'entityName' => 'reg05_tv',
6035
    },
6036
    'reg06_rd' => {
6037
      'connections' => { 'reg06_rd' => 'from_register11_data_out_net_x0', },
6038
      'entity' => {
6039
        'attributes' => {
6040
          'isGateway' => 1,
6041
          'is_floating_block' => 1,
6042
        },
6043
        'entityName' => 'reg06_rd',
6044
        'ports' => {
6045
          'reg06_rd' => {
6046
            'attributes' => {
6047
              'bin_pt' => 0,
6048
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
6049
              'is_floating_block' => 1,
6050
              'is_gateway_port' => 1,
6051
              'must_be_hdl_vector' => 1,
6052
              'period' => 1.0,
6053
              'port_id' => '0',
6054
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd/reg06_rd',
6055
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd',
6056
              'timingConstraint' => 'none',
6057
              'type' => 'UFix_32_0',
6058
            },
6059
            'direction' => 'in',
6060
            'hdlType' => 'std_logic_vector(31 downto 0)',
6061
            'width' => 32,
6062
          },
6063
        },
6064
      },
6065
      'entityName' => 'reg06_rd',
6066
    },
6067
    'reg06_rv' => {
6068
      'connections' => { 'reg06_rv' => 'from_register12_data_out_net_x0', },
6069
      'entity' => {
6070
        'attributes' => {
6071
          'isGateway' => 1,
6072
          'is_floating_block' => 1,
6073
        },
6074
        'entityName' => 'reg06_rv',
6075
        'ports' => {
6076
          'reg06_rv' => {
6077
            'attributes' => {
6078
              'bin_pt' => 0,
6079
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
6080
              'is_floating_block' => 1,
6081
              'is_gateway_port' => 1,
6082
              'must_be_hdl_vector' => 1,
6083
              'period' => 1.0,
6084
              'port_id' => '0',
6085
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv/reg06_rv',
6086
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv',
6087
              'timingConstraint' => 'none',
6088
              'type' => 'UFix_1_0',
6089
            },
6090
            'direction' => 'in',
6091
            'hdlType' => 'std_logic',
6092
            'width' => 1,
6093
          },
6094
        },
6095
      },
6096
      'entityName' => 'reg06_rv',
6097
    },
6098
    'reg06_td' => {
6099
      'connections' => { 'reg06_td' => 'reg06_td_net', },
6100
      'entity' => {
6101
        'attributes' => {
6102
          'isGateway' => 1,
6103
          'is_floating_block' => 1,
6104
        },
6105
        'entityName' => 'reg06_td',
6106
        'ports' => {
6107
          'reg06_td' => {
6108
            'attributes' => {
6109
              'bin_pt' => 0,
6110
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
6111
              'is_floating_block' => 1,
6112
              'is_gateway_port' => 1,
6113
              'must_be_hdl_vector' => 1,
6114
              'period' => 1.0,
6115
              'port_id' => '0',
6116
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td/reg06_td',
6117
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td',
6118
              'timingConstraint' => 'none',
6119
              'type' => 'UFix_32_0',
6120
            },
6121
            'direction' => 'out',
6122
            'hdlType' => 'std_logic_vector(31 downto 0)',
6123
            'width' => 32,
6124
          },
6125
        },
6126
      },
6127
      'entityName' => 'reg06_td',
6128
    },
6129
    'reg06_tv' => {
6130
      'connections' => { 'reg06_tv' => 'reg06_tv_net', },
6131
      'entity' => {
6132
        'attributes' => {
6133
          'isGateway' => 1,
6134
          'is_floating_block' => 1,
6135
        },
6136
        'entityName' => 'reg06_tv',
6137
        'ports' => {
6138
          'reg06_tv' => {
6139
            'attributes' => {
6140
              'bin_pt' => 0,
6141
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
6142
              'is_floating_block' => 1,
6143
              'is_gateway_port' => 1,
6144
              'must_be_hdl_vector' => 1,
6145
              'period' => 1.0,
6146
              'port_id' => '0',
6147
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv/reg06_tv',
6148
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv',
6149
              'timingConstraint' => 'none',
6150
              'type' => 'Bool',
6151
            },
6152
            'direction' => 'out',
6153
            'hdlType' => 'std_logic',
6154
            'width' => 1,
6155
          },
6156
        },
6157
      },
6158
      'entityName' => 'reg06_tv',
6159
    },
6160
    'reg07_rd' => {
6161
      'connections' => { 'reg07_rd' => 'from_register13_data_out_net_x0', },
6162
      'entity' => {
6163
        'attributes' => {
6164
          'isGateway' => 1,
6165
          'is_floating_block' => 1,
6166
        },
6167
        'entityName' => 'reg07_rd',
6168
        'ports' => {
6169
          'reg07_rd' => {
6170
            'attributes' => {
6171
              'bin_pt' => 0,
6172
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
6173
              'is_floating_block' => 1,
6174
              'is_gateway_port' => 1,
6175
              'must_be_hdl_vector' => 1,
6176
              'period' => 1.0,
6177
              'port_id' => '0',
6178
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd/reg07_rd',
6179
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd',
6180
              'timingConstraint' => 'none',
6181
              'type' => 'UFix_32_0',
6182
            },
6183
            'direction' => 'in',
6184
            'hdlType' => 'std_logic_vector(31 downto 0)',
6185
            'width' => 32,
6186
          },
6187
        },
6188
      },
6189
      'entityName' => 'reg07_rd',
6190
    },
6191
    'reg07_rv' => {
6192
      'connections' => { 'reg07_rv' => 'from_register14_data_out_net_x0', },
6193
      'entity' => {
6194
        'attributes' => {
6195
          'isGateway' => 1,
6196
          'is_floating_block' => 1,
6197
        },
6198
        'entityName' => 'reg07_rv',
6199
        'ports' => {
6200
          'reg07_rv' => {
6201
            'attributes' => {
6202
              'bin_pt' => 0,
6203
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
6204
              'is_floating_block' => 1,
6205
              'is_gateway_port' => 1,
6206
              'must_be_hdl_vector' => 1,
6207
              'period' => 1.0,
6208
              'port_id' => '0',
6209
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv/reg07_rv',
6210
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv',
6211
              'timingConstraint' => 'none',
6212
              'type' => 'UFix_1_0',
6213
            },
6214
            'direction' => 'in',
6215
            'hdlType' => 'std_logic',
6216
            'width' => 1,
6217
          },
6218
        },
6219
      },
6220
      'entityName' => 'reg07_rv',
6221
    },
6222
    'reg07_td' => {
6223
      'connections' => { 'reg07_td' => 'reg07_td_net', },
6224
      'entity' => {
6225
        'attributes' => {
6226
          'isGateway' => 1,
6227
          'is_floating_block' => 1,
6228
        },
6229
        'entityName' => 'reg07_td',
6230
        'ports' => {
6231
          'reg07_td' => {
6232
            'attributes' => {
6233
              'bin_pt' => 0,
6234
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
6235
              'is_floating_block' => 1,
6236
              'is_gateway_port' => 1,
6237
              'must_be_hdl_vector' => 1,
6238
              'period' => 1.0,
6239
              'port_id' => '0',
6240
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td/reg07_td',
6241
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td',
6242
              'timingConstraint' => 'none',
6243
              'type' => 'UFix_32_0',
6244
            },
6245
            'direction' => 'out',
6246
            'hdlType' => 'std_logic_vector(31 downto 0)',
6247
            'width' => 32,
6248
          },
6249
        },
6250
      },
6251
      'entityName' => 'reg07_td',
6252
    },
6253
    'reg07_tv' => {
6254
      'connections' => { 'reg07_tv' => 'reg07_tv_net', },
6255
      'entity' => {
6256
        'attributes' => {
6257
          'isGateway' => 1,
6258
          'is_floating_block' => 1,
6259
        },
6260
        'entityName' => 'reg07_tv',
6261
        'ports' => {
6262
          'reg07_tv' => {
6263
            'attributes' => {
6264
              'bin_pt' => 0,
6265
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
6266
              'is_floating_block' => 1,
6267
              'is_gateway_port' => 1,
6268
              'must_be_hdl_vector' => 1,
6269
              'period' => 1.0,
6270
              'port_id' => '0',
6271
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv/reg07_tv',
6272
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv',
6273
              'timingConstraint' => 'none',
6274
              'type' => 'Bool',
6275
            },
6276
            'direction' => 'out',
6277
            'hdlType' => 'std_logic',
6278
            'width' => 1,
6279
          },
6280
        },
6281
      },
6282
      'entityName' => 'reg07_tv',
6283
    },
6284
    'reg08_rd' => {
6285
      'connections' => { 'reg08_rd' => 'from_register15_data_out_net_x0', },
6286
      'entity' => {
6287
        'attributes' => {
6288
          'isGateway' => 1,
6289
          'is_floating_block' => 1,
6290
        },
6291
        'entityName' => 'reg08_rd',
6292
        'ports' => {
6293
          'reg08_rd' => {
6294
            'attributes' => {
6295
              'bin_pt' => 0,
6296
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
6297
              'is_floating_block' => 1,
6298
              'is_gateway_port' => 1,
6299
              'must_be_hdl_vector' => 1,
6300
              'period' => 1.0,
6301
              'port_id' => '0',
6302
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd/reg08_rd',
6303
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd',
6304
              'timingConstraint' => 'none',
6305
              'type' => 'UFix_32_0',
6306
            },
6307
            'direction' => 'in',
6308
            'hdlType' => 'std_logic_vector(31 downto 0)',
6309
            'width' => 32,
6310
          },
6311
        },
6312
      },
6313
      'entityName' => 'reg08_rd',
6314
    },
6315
    'reg08_rv' => {
6316
      'connections' => { 'reg08_rv' => 'from_register16_data_out_net_x0', },
6317
      'entity' => {
6318
        'attributes' => {
6319
          'isGateway' => 1,
6320
          'is_floating_block' => 1,
6321
        },
6322
        'entityName' => 'reg08_rv',
6323
        'ports' => {
6324
          'reg08_rv' => {
6325
            'attributes' => {
6326
              'bin_pt' => 0,
6327
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
6328
              'is_floating_block' => 1,
6329
              'is_gateway_port' => 1,
6330
              'must_be_hdl_vector' => 1,
6331
              'period' => 1.0,
6332
              'port_id' => '0',
6333
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv/reg08_rv',
6334
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv',
6335
              'timingConstraint' => 'none',
6336
              'type' => 'UFix_1_0',
6337
            },
6338
            'direction' => 'in',
6339
            'hdlType' => 'std_logic',
6340
            'width' => 1,
6341
          },
6342
        },
6343
      },
6344
      'entityName' => 'reg08_rv',
6345
    },
6346
    'reg08_td' => {
6347
      'connections' => { 'reg08_td' => 'reg08_td_net', },
6348
      'entity' => {
6349
        'attributes' => {
6350
          'isGateway' => 1,
6351
          'is_floating_block' => 1,
6352
        },
6353
        'entityName' => 'reg08_td',
6354
        'ports' => {
6355
          'reg08_td' => {
6356
            'attributes' => {
6357
              'bin_pt' => 0,
6358
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
6359
              'is_floating_block' => 1,
6360
              'is_gateway_port' => 1,
6361
              'must_be_hdl_vector' => 1,
6362
              'period' => 1.0,
6363
              'port_id' => '0',
6364
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td/reg08_td',
6365
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td',
6366
              'timingConstraint' => 'none',
6367
              'type' => 'UFix_32_0',
6368
            },
6369
            'direction' => 'out',
6370
            'hdlType' => 'std_logic_vector(31 downto 0)',
6371
            'width' => 32,
6372
          },
6373
        },
6374
      },
6375
      'entityName' => 'reg08_td',
6376
    },
6377
    'reg08_tv' => {
6378
      'connections' => { 'reg08_tv' => 'reg08_tv_net', },
6379
      'entity' => {
6380
        'attributes' => {
6381
          'isGateway' => 1,
6382
          'is_floating_block' => 1,
6383
        },
6384
        'entityName' => 'reg08_tv',
6385
        'ports' => {
6386
          'reg08_tv' => {
6387
            'attributes' => {
6388
              'bin_pt' => 0,
6389
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
6390
              'is_floating_block' => 1,
6391
              'is_gateway_port' => 1,
6392
              'must_be_hdl_vector' => 1,
6393
              'period' => 1.0,
6394
              'port_id' => '0',
6395
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv/reg08_tv',
6396
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv',
6397
              'timingConstraint' => 'none',
6398
              'type' => 'Bool',
6399
            },
6400
            'direction' => 'out',
6401
            'hdlType' => 'std_logic',
6402
            'width' => 1,
6403
          },
6404
        },
6405
      },
6406
      'entityName' => 'reg08_tv',
6407
    },
6408
    'reg09_rd' => {
6409
      'connections' => { 'reg09_rd' => 'from_register17_data_out_net_x0', },
6410
      'entity' => {
6411
        'attributes' => {
6412
          'isGateway' => 1,
6413
          'is_floating_block' => 1,
6414
        },
6415
        'entityName' => 'reg09_rd',
6416
        'ports' => {
6417
          'reg09_rd' => {
6418
            'attributes' => {
6419
              'bin_pt' => 0,
6420
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
6421
              'is_floating_block' => 1,
6422
              'is_gateway_port' => 1,
6423
              'must_be_hdl_vector' => 1,
6424
              'period' => 1.0,
6425
              'port_id' => '0',
6426
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd/reg09_rd',
6427
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd',
6428
              'timingConstraint' => 'none',
6429
              'type' => 'UFix_32_0',
6430
            },
6431
            'direction' => 'in',
6432
            'hdlType' => 'std_logic_vector(31 downto 0)',
6433
            'width' => 32,
6434
          },
6435
        },
6436
      },
6437
      'entityName' => 'reg09_rd',
6438
    },
6439
    'reg09_rv' => {
6440
      'connections' => { 'reg09_rv' => 'from_register18_data_out_net_x0', },
6441
      'entity' => {
6442
        'attributes' => {
6443
          'isGateway' => 1,
6444
          'is_floating_block' => 1,
6445
        },
6446
        'entityName' => 'reg09_rv',
6447
        'ports' => {
6448
          'reg09_rv' => {
6449
            'attributes' => {
6450
              'bin_pt' => 0,
6451
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
6452
              'is_floating_block' => 1,
6453
              'is_gateway_port' => 1,
6454
              'must_be_hdl_vector' => 1,
6455
              'period' => 1.0,
6456
              'port_id' => '0',
6457
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv/reg09_rv',
6458
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv',
6459
              'timingConstraint' => 'none',
6460
              'type' => 'UFix_1_0',
6461
            },
6462
            'direction' => 'in',
6463
            'hdlType' => 'std_logic',
6464
            'width' => 1,
6465
          },
6466
        },
6467
      },
6468
      'entityName' => 'reg09_rv',
6469
    },
6470
    'reg09_td' => {
6471
      'connections' => { 'reg09_td' => 'reg09_td_net', },
6472
      'entity' => {
6473
        'attributes' => {
6474
          'isGateway' => 1,
6475
          'is_floating_block' => 1,
6476
        },
6477
        'entityName' => 'reg09_td',
6478
        'ports' => {
6479
          'reg09_td' => {
6480
            'attributes' => {
6481
              'bin_pt' => 0,
6482
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
6483
              'is_floating_block' => 1,
6484
              'is_gateway_port' => 1,
6485
              'must_be_hdl_vector' => 1,
6486
              'period' => 1.0,
6487
              'port_id' => '0',
6488
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td/reg09_td',
6489
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td',
6490
              'timingConstraint' => 'none',
6491
              'type' => 'UFix_32_0',
6492
            },
6493
            'direction' => 'out',
6494
            'hdlType' => 'std_logic_vector(31 downto 0)',
6495
            'width' => 32,
6496
          },
6497
        },
6498
      },
6499
      'entityName' => 'reg09_td',
6500
    },
6501
    'reg09_tv' => {
6502
      'connections' => { 'reg09_tv' => 'reg09_tv_net', },
6503
      'entity' => {
6504
        'attributes' => {
6505
          'isGateway' => 1,
6506
          'is_floating_block' => 1,
6507
        },
6508
        'entityName' => 'reg09_tv',
6509
        'ports' => {
6510
          'reg09_tv' => {
6511
            'attributes' => {
6512
              'bin_pt' => 0,
6513
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
6514
              'is_floating_block' => 1,
6515
              'is_gateway_port' => 1,
6516
              'must_be_hdl_vector' => 1,
6517
              'period' => 1.0,
6518
              'port_id' => '0',
6519
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv/reg09_tv',
6520
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv',
6521
              'timingConstraint' => 'none',
6522
              'type' => 'Bool',
6523
            },
6524
            'direction' => 'out',
6525
            'hdlType' => 'std_logic',
6526
            'width' => 1,
6527
          },
6528
        },
6529
      },
6530
      'entityName' => 'reg09_tv',
6531
    },
6532
    'reg10_rd' => {
6533
      'connections' => { 'reg10_rd' => 'from_register19_data_out_net_x0', },
6534
      'entity' => {
6535
        'attributes' => {
6536
          'isGateway' => 1,
6537
          'is_floating_block' => 1,
6538
        },
6539
        'entityName' => 'reg10_rd',
6540
        'ports' => {
6541
          'reg10_rd' => {
6542
            'attributes' => {
6543
              'bin_pt' => 0,
6544
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
6545
              'is_floating_block' => 1,
6546
              'is_gateway_port' => 1,
6547
              'must_be_hdl_vector' => 1,
6548
              'period' => 1.0,
6549
              'port_id' => '0',
6550
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd/reg10_rd',
6551
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd',
6552
              'timingConstraint' => 'none',
6553
              'type' => 'UFix_32_0',
6554
            },
6555
            'direction' => 'in',
6556
            'hdlType' => 'std_logic_vector(31 downto 0)',
6557
            'width' => 32,
6558
          },
6559
        },
6560
      },
6561
      'entityName' => 'reg10_rd',
6562
    },
6563
    'reg10_rv' => {
6564
      'connections' => { 'reg10_rv' => 'from_register20_data_out_net_x0', },
6565
      'entity' => {
6566
        'attributes' => {
6567
          'isGateway' => 1,
6568
          'is_floating_block' => 1,
6569
        },
6570
        'entityName' => 'reg10_rv',
6571
        'ports' => {
6572
          'reg10_rv' => {
6573
            'attributes' => {
6574
              'bin_pt' => 0,
6575
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
6576
              'is_floating_block' => 1,
6577
              'is_gateway_port' => 1,
6578
              'must_be_hdl_vector' => 1,
6579
              'period' => 1.0,
6580
              'port_id' => '0',
6581
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv/reg10_rv',
6582
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv',
6583
              'timingConstraint' => 'none',
6584
              'type' => 'UFix_1_0',
6585
            },
6586
            'direction' => 'in',
6587
            'hdlType' => 'std_logic',
6588
            'width' => 1,
6589
          },
6590
        },
6591
      },
6592
      'entityName' => 'reg10_rv',
6593
    },
6594
    'reg10_td' => {
6595
      'connections' => { 'reg10_td' => 'reg10_td_net', },
6596
      'entity' => {
6597
        'attributes' => {
6598
          'isGateway' => 1,
6599
          'is_floating_block' => 1,
6600
        },
6601
        'entityName' => 'reg10_td',
6602
        'ports' => {
6603
          'reg10_td' => {
6604
            'attributes' => {
6605
              'bin_pt' => 0,
6606
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
6607
              'is_floating_block' => 1,
6608
              'is_gateway_port' => 1,
6609
              'must_be_hdl_vector' => 1,
6610
              'period' => 1.0,
6611
              'port_id' => '0',
6612
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td/reg10_td',
6613
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td',
6614
              'timingConstraint' => 'none',
6615
              'type' => 'UFix_32_0',
6616
            },
6617
            'direction' => 'out',
6618
            'hdlType' => 'std_logic_vector(31 downto 0)',
6619
            'width' => 32,
6620
          },
6621
        },
6622
      },
6623
      'entityName' => 'reg10_td',
6624
    },
6625
    'reg10_tv' => {
6626
      'connections' => { 'reg10_tv' => 'reg10_tv_net', },
6627
      'entity' => {
6628
        'attributes' => {
6629
          'isGateway' => 1,
6630
          'is_floating_block' => 1,
6631
        },
6632
        'entityName' => 'reg10_tv',
6633
        'ports' => {
6634
          'reg10_tv' => {
6635
            'attributes' => {
6636
              'bin_pt' => 0,
6637
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
6638
              'is_floating_block' => 1,
6639
              'is_gateway_port' => 1,
6640
              'must_be_hdl_vector' => 1,
6641
              'period' => 1.0,
6642
              'port_id' => '0',
6643
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv/reg10_tv',
6644
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv',
6645
              'timingConstraint' => 'none',
6646
              'type' => 'Bool',
6647
            },
6648
            'direction' => 'out',
6649
            'hdlType' => 'std_logic',
6650
            'width' => 1,
6651
          },
6652
        },
6653
      },
6654
      'entityName' => 'reg10_tv',
6655
    },
6656
    'reg11_rd' => {
6657
      'connections' => { 'reg11_rd' => 'from_register21_data_out_net_x0', },
6658
      'entity' => {
6659
        'attributes' => {
6660
          'isGateway' => 1,
6661
          'is_floating_block' => 1,
6662
        },
6663
        'entityName' => 'reg11_rd',
6664
        'ports' => {
6665
          'reg11_rd' => {
6666
            'attributes' => {
6667
              'bin_pt' => 0,
6668
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
6669
              'is_floating_block' => 1,
6670
              'is_gateway_port' => 1,
6671
              'must_be_hdl_vector' => 1,
6672
              'period' => 1.0,
6673
              'port_id' => '0',
6674
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd/reg11_rd',
6675
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd',
6676
              'timingConstraint' => 'none',
6677
              'type' => 'UFix_32_0',
6678
            },
6679
            'direction' => 'in',
6680
            'hdlType' => 'std_logic_vector(31 downto 0)',
6681
            'width' => 32,
6682
          },
6683
        },
6684
      },
6685
      'entityName' => 'reg11_rd',
6686
    },
6687
    'reg11_rv' => {
6688
      'connections' => { 'reg11_rv' => 'from_register22_data_out_net_x0', },
6689
      'entity' => {
6690
        'attributes' => {
6691
          'isGateway' => 1,
6692
          'is_floating_block' => 1,
6693
        },
6694
        'entityName' => 'reg11_rv',
6695
        'ports' => {
6696
          'reg11_rv' => {
6697
            'attributes' => {
6698
              'bin_pt' => 0,
6699
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
6700
              'is_floating_block' => 1,
6701
              'is_gateway_port' => 1,
6702
              'must_be_hdl_vector' => 1,
6703
              'period' => 1.0,
6704
              'port_id' => '0',
6705
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv/reg11_rv',
6706
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv',
6707
              'timingConstraint' => 'none',
6708
              'type' => 'UFix_1_0',
6709
            },
6710
            'direction' => 'in',
6711
            'hdlType' => 'std_logic',
6712
            'width' => 1,
6713
          },
6714
        },
6715
      },
6716
      'entityName' => 'reg11_rv',
6717
    },
6718
    'reg11_td' => {
6719
      'connections' => { 'reg11_td' => 'reg11_td_net', },
6720
      'entity' => {
6721
        'attributes' => {
6722
          'isGateway' => 1,
6723
          'is_floating_block' => 1,
6724
        },
6725
        'entityName' => 'reg11_td',
6726
        'ports' => {
6727
          'reg11_td' => {
6728
            'attributes' => {
6729
              'bin_pt' => 0,
6730
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
6731
              'is_floating_block' => 1,
6732
              'is_gateway_port' => 1,
6733
              'must_be_hdl_vector' => 1,
6734
              'period' => 1.0,
6735
              'port_id' => '0',
6736
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td/reg11_td',
6737
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td',
6738
              'timingConstraint' => 'none',
6739
              'type' => 'UFix_32_0',
6740
            },
6741
            'direction' => 'out',
6742
            'hdlType' => 'std_logic_vector(31 downto 0)',
6743
            'width' => 32,
6744
          },
6745
        },
6746
      },
6747
      'entityName' => 'reg11_td',
6748
    },
6749
    'reg11_tv' => {
6750
      'connections' => { 'reg11_tv' => 'reg11_tv_net', },
6751
      'entity' => {
6752
        'attributes' => {
6753
          'isGateway' => 1,
6754
          'is_floating_block' => 1,
6755
        },
6756
        'entityName' => 'reg11_tv',
6757
        'ports' => {
6758
          'reg11_tv' => {
6759
            'attributes' => {
6760
              'bin_pt' => 0,
6761
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
6762
              'is_floating_block' => 1,
6763
              'is_gateway_port' => 1,
6764
              'must_be_hdl_vector' => 1,
6765
              'period' => 1.0,
6766
              'port_id' => '0',
6767
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv/reg11_tv',
6768
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv',
6769
              'timingConstraint' => 'none',
6770
              'type' => 'Bool',
6771
            },
6772
            'direction' => 'out',
6773
            'hdlType' => 'std_logic',
6774
            'width' => 1,
6775
          },
6776
        },
6777
      },
6778
      'entityName' => 'reg11_tv',
6779
    },
6780
    'reg12_rd' => {
6781
      'connections' => { 'reg12_rd' => 'from_register23_data_out_net_x0', },
6782
      'entity' => {
6783
        'attributes' => {
6784
          'isGateway' => 1,
6785
          'is_floating_block' => 1,
6786
        },
6787
        'entityName' => 'reg12_rd',
6788
        'ports' => {
6789
          'reg12_rd' => {
6790
            'attributes' => {
6791
              'bin_pt' => 0,
6792
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
6793
              'is_floating_block' => 1,
6794
              'is_gateway_port' => 1,
6795
              'must_be_hdl_vector' => 1,
6796
              'period' => 1.0,
6797
              'port_id' => '0',
6798
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd/reg12_rd',
6799
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd',
6800
              'timingConstraint' => 'none',
6801
              'type' => 'UFix_32_0',
6802
            },
6803
            'direction' => 'in',
6804
            'hdlType' => 'std_logic_vector(31 downto 0)',
6805
            'width' => 32,
6806
          },
6807
        },
6808
      },
6809
      'entityName' => 'reg12_rd',
6810
    },
6811
    'reg12_rv' => {
6812
      'connections' => { 'reg12_rv' => 'from_register24_data_out_net_x0', },
6813
      'entity' => {
6814
        'attributes' => {
6815
          'isGateway' => 1,
6816
          'is_floating_block' => 1,
6817
        },
6818
        'entityName' => 'reg12_rv',
6819
        'ports' => {
6820
          'reg12_rv' => {
6821
            'attributes' => {
6822
              'bin_pt' => 0,
6823
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
6824
              'is_floating_block' => 1,
6825
              'is_gateway_port' => 1,
6826
              'must_be_hdl_vector' => 1,
6827
              'period' => 1.0,
6828
              'port_id' => '0',
6829
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv/reg12_rv',
6830
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv',
6831
              'timingConstraint' => 'none',
6832
              'type' => 'UFix_1_0',
6833
            },
6834
            'direction' => 'in',
6835
            'hdlType' => 'std_logic',
6836
            'width' => 1,
6837
          },
6838
        },
6839
      },
6840
      'entityName' => 'reg12_rv',
6841
    },
6842
    'reg12_td' => {
6843
      'connections' => { 'reg12_td' => 'reg12_td_net', },
6844
      'entity' => {
6845
        'attributes' => {
6846
          'isGateway' => 1,
6847
          'is_floating_block' => 1,
6848
        },
6849
        'entityName' => 'reg12_td',
6850
        'ports' => {
6851
          'reg12_td' => {
6852
            'attributes' => {
6853
              'bin_pt' => 0,
6854
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
6855
              'is_floating_block' => 1,
6856
              'is_gateway_port' => 1,
6857
              'must_be_hdl_vector' => 1,
6858
              'period' => 1.0,
6859
              'port_id' => '0',
6860
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td/reg12_td',
6861
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td',
6862
              'timingConstraint' => 'none',
6863
              'type' => 'UFix_32_0',
6864
            },
6865
            'direction' => 'out',
6866
            'hdlType' => 'std_logic_vector(31 downto 0)',
6867
            'width' => 32,
6868
          },
6869
        },
6870
      },
6871
      'entityName' => 'reg12_td',
6872
    },
6873
    'reg12_tv' => {
6874
      'connections' => { 'reg12_tv' => 'reg12_tv_net', },
6875
      'entity' => {
6876
        'attributes' => {
6877
          'isGateway' => 1,
6878
          'is_floating_block' => 1,
6879
        },
6880
        'entityName' => 'reg12_tv',
6881
        'ports' => {
6882
          'reg12_tv' => {
6883
            'attributes' => {
6884
              'bin_pt' => 0,
6885
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
6886
              'is_floating_block' => 1,
6887
              'is_gateway_port' => 1,
6888
              'must_be_hdl_vector' => 1,
6889
              'period' => 1.0,
6890
              'port_id' => '0',
6891
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv/reg12_tv',
6892
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv',
6893
              'timingConstraint' => 'none',
6894
              'type' => 'Bool',
6895
            },
6896
            'direction' => 'out',
6897
            'hdlType' => 'std_logic',
6898
            'width' => 1,
6899
          },
6900
        },
6901
      },
6902
      'entityName' => 'reg12_tv',
6903
    },
6904
    'reg13_rd' => {
6905
      'connections' => { 'reg13_rd' => 'from_register25_data_out_net_x0', },
6906
      'entity' => {
6907
        'attributes' => {
6908
          'isGateway' => 1,
6909
          'is_floating_block' => 1,
6910
        },
6911
        'entityName' => 'reg13_rd',
6912
        'ports' => {
6913
          'reg13_rd' => {
6914
            'attributes' => {
6915
              'bin_pt' => 0,
6916
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
6917
              'is_floating_block' => 1,
6918
              'is_gateway_port' => 1,
6919
              'must_be_hdl_vector' => 1,
6920
              'period' => 1.0,
6921
              'port_id' => '0',
6922
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd/reg13_rd',
6923
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd',
6924
              'timingConstraint' => 'none',
6925
              'type' => 'UFix_32_0',
6926
            },
6927
            'direction' => 'in',
6928
            'hdlType' => 'std_logic_vector(31 downto 0)',
6929
            'width' => 32,
6930
          },
6931
        },
6932
      },
6933
      'entityName' => 'reg13_rd',
6934
    },
6935
    'reg13_rv' => {
6936
      'connections' => { 'reg13_rv' => 'from_register26_data_out_net_x0', },
6937
      'entity' => {
6938
        'attributes' => {
6939
          'isGateway' => 1,
6940
          'is_floating_block' => 1,
6941
        },
6942
        'entityName' => 'reg13_rv',
6943
        'ports' => {
6944
          'reg13_rv' => {
6945
            'attributes' => {
6946
              'bin_pt' => 0,
6947
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
6948
              'is_floating_block' => 1,
6949
              'is_gateway_port' => 1,
6950
              'must_be_hdl_vector' => 1,
6951
              'period' => 1.0,
6952
              'port_id' => '0',
6953
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv/reg13_rv',
6954
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv',
6955
              'timingConstraint' => 'none',
6956
              'type' => 'UFix_1_0',
6957
            },
6958
            'direction' => 'in',
6959
            'hdlType' => 'std_logic',
6960
            'width' => 1,
6961
          },
6962
        },
6963
      },
6964
      'entityName' => 'reg13_rv',
6965
    },
6966
    'reg13_td' => {
6967
      'connections' => { 'reg13_td' => 'reg13_td_net', },
6968
      'entity' => {
6969
        'attributes' => {
6970
          'isGateway' => 1,
6971
          'is_floating_block' => 1,
6972
        },
6973
        'entityName' => 'reg13_td',
6974
        'ports' => {
6975
          'reg13_td' => {
6976
            'attributes' => {
6977
              'bin_pt' => 0,
6978
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
6979
              'is_floating_block' => 1,
6980
              'is_gateway_port' => 1,
6981
              'must_be_hdl_vector' => 1,
6982
              'period' => 1.0,
6983
              'port_id' => '0',
6984
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td/reg13_td',
6985
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td',
6986
              'timingConstraint' => 'none',
6987
              'type' => 'UFix_32_0',
6988
            },
6989
            'direction' => 'out',
6990
            'hdlType' => 'std_logic_vector(31 downto 0)',
6991
            'width' => 32,
6992
          },
6993
        },
6994
      },
6995
      'entityName' => 'reg13_td',
6996
    },
6997
    'reg13_tv' => {
6998
      'connections' => { 'reg13_tv' => 'reg13_tv_net', },
6999
      'entity' => {
7000
        'attributes' => {
7001
          'isGateway' => 1,
7002
          'is_floating_block' => 1,
7003
        },
7004
        'entityName' => 'reg13_tv',
7005
        'ports' => {
7006
          'reg13_tv' => {
7007
            'attributes' => {
7008
              'bin_pt' => 0,
7009
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
7010
              'is_floating_block' => 1,
7011
              'is_gateway_port' => 1,
7012
              'must_be_hdl_vector' => 1,
7013
              'period' => 1.0,
7014
              'port_id' => '0',
7015
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv/reg13_tv',
7016
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv',
7017
              'timingConstraint' => 'none',
7018
              'type' => 'Bool',
7019
            },
7020
            'direction' => 'out',
7021
            'hdlType' => 'std_logic',
7022
            'width' => 1,
7023
          },
7024
        },
7025
      },
7026
      'entityName' => 'reg13_tv',
7027
    },
7028
    'reg14_rd' => {
7029
      'connections' => { 'reg14_rd' => 'from_register27_data_out_net_x0', },
7030
      'entity' => {
7031
        'attributes' => {
7032
          'isGateway' => 1,
7033
          'is_floating_block' => 1,
7034
        },
7035
        'entityName' => 'reg14_rd',
7036
        'ports' => {
7037
          'reg14_rd' => {
7038
            'attributes' => {
7039
              'bin_pt' => 0,
7040
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
7041
              'is_floating_block' => 1,
7042
              'is_gateway_port' => 1,
7043
              'must_be_hdl_vector' => 1,
7044
              'period' => 1.0,
7045
              'port_id' => '0',
7046
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd/reg14_rd',
7047
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd',
7048
              'timingConstraint' => 'none',
7049
              'type' => 'UFix_32_0',
7050
            },
7051
            'direction' => 'in',
7052
            'hdlType' => 'std_logic_vector(31 downto 0)',
7053
            'width' => 32,
7054
          },
7055
        },
7056
      },
7057
      'entityName' => 'reg14_rd',
7058
    },
7059
    'reg14_rv' => {
7060
      'connections' => { 'reg14_rv' => 'from_register28_data_out_net_x0', },
7061
      'entity' => {
7062
        'attributes' => {
7063
          'isGateway' => 1,
7064
          'is_floating_block' => 1,
7065
        },
7066
        'entityName' => 'reg14_rv',
7067
        'ports' => {
7068
          'reg14_rv' => {
7069
            'attributes' => {
7070
              'bin_pt' => 0,
7071
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
7072
              'is_floating_block' => 1,
7073
              'is_gateway_port' => 1,
7074
              'must_be_hdl_vector' => 1,
7075
              'period' => 1.0,
7076
              'port_id' => '0',
7077
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv/reg14_rv',
7078
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv',
7079
              'timingConstraint' => 'none',
7080
              'type' => 'UFix_1_0',
7081
            },
7082
            'direction' => 'in',
7083
            'hdlType' => 'std_logic',
7084
            'width' => 1,
7085
          },
7086
        },
7087
      },
7088
      'entityName' => 'reg14_rv',
7089
    },
7090
    'reg14_td' => {
7091
      'connections' => { 'reg14_td' => 'reg14_td_net', },
7092
      'entity' => {
7093
        'attributes' => {
7094
          'isGateway' => 1,
7095
          'is_floating_block' => 1,
7096
        },
7097
        'entityName' => 'reg14_td',
7098
        'ports' => {
7099
          'reg14_td' => {
7100
            'attributes' => {
7101
              'bin_pt' => 0,
7102
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
7103
              'is_floating_block' => 1,
7104
              'is_gateway_port' => 1,
7105
              'must_be_hdl_vector' => 1,
7106
              'period' => 1.0,
7107
              'port_id' => '0',
7108
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td/reg14_td',
7109
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td',
7110
              'timingConstraint' => 'none',
7111
              'type' => 'UFix_32_0',
7112
            },
7113
            'direction' => 'out',
7114
            'hdlType' => 'std_logic_vector(31 downto 0)',
7115
            'width' => 32,
7116
          },
7117
        },
7118
      },
7119
      'entityName' => 'reg14_td',
7120
    },
7121
    'reg14_tv' => {
7122
      'connections' => { 'reg14_tv' => 'reg14_tv_net', },
7123
      'entity' => {
7124
        'attributes' => {
7125
          'isGateway' => 1,
7126
          'is_floating_block' => 1,
7127
        },
7128
        'entityName' => 'reg14_tv',
7129
        'ports' => {
7130
          'reg14_tv' => {
7131
            'attributes' => {
7132
              'bin_pt' => 0,
7133
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
7134
              'is_floating_block' => 1,
7135
              'is_gateway_port' => 1,
7136
              'must_be_hdl_vector' => 1,
7137
              'period' => 1.0,
7138
              'port_id' => '0',
7139
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv/reg14_tv',
7140
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv',
7141
              'timingConstraint' => 'none',
7142
              'type' => 'Bool',
7143
            },
7144
            'direction' => 'out',
7145
            'hdlType' => 'std_logic',
7146
            'width' => 1,
7147
          },
7148
        },
7149
      },
7150
      'entityName' => 'reg14_tv',
7151
    },
7152
    'to_register1' => {
7153
      'connections' => {
7154
        'ce' => 'ce_1_sg',
7155
        'clk' => 'clk_1_sg',
7156
        'clr' => [
7157
          'constant',
7158
          '\'0\'',
7159
        ],
7160
        'data_in' => 'debug_in_2i_net_x0',
7161
        'dout' => 'to_register1_dout_net',
7162
        'en' => 'constant5_op_net_x0',
7163
      },
7164
      'entity' => {
7165
        'attributes' => {
7166
          'generics' => [],
7167
          'is_floating_block' => 1,
7168
          'mask' => {
7169
            'Block_Handle' => 38.0009765625,
7170
            'Block_handle' => 38.0009765625,
7171
            'MDL_Handle' => 3.0009765625,
7172
            'MDL_handle' => 3.0009765625,
7173
            'arith_type' => 1,
7174
            'bin_pt' => 14,
7175
            'block_config' => 'sysgen_blockset:toreg_config',
7176
            'block_handle' => 38.0009765625,
7177
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7178
            'block_type' => 'toreg',
7179
            'dbl_ovrd' => 0,
7180
            'explicit_data_type' => 0,
7181
            'init' => 0.0,
7182
            'init_bit_vector' => '00000000000000000000000000000000b',
7183
            'mdl_handle' => 3.0009765625,
7184
            'model_handle' => 3.0009765625,
7185
            'n_bits' => 16,
7186
            'ownership' => 1,
7187
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7188
            'shared_memory_name' => 'debug2i',
7189
          },
7190
          'needs_vhdl_wrapper' => 0,
7191
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7192
        },
7193
        'entityName' => 'x',
7194
        'ports' => {
7195
          'ce' => {
7196
            'attributes' => {
7197
              'domain' => '',
7198
              'group' => 1,
7199
              'isCe' => 1,
7200
              'is_floating_block' => 1,
7201
              'period' => 1.0,
7202
              'type' => 'logic',
7203
            },
7204
            'direction' => 'in',
7205
            'hdlType' => 'std_logic',
7206
            'width' => 1,
7207
          },
7208
          'clk' => {
7209
            'attributes' => {
7210
              'domain' => '',
7211
              'group' => 1,
7212
              'isClk' => 1,
7213
              'is_floating_block' => 1,
7214
              'period' => 1.0,
7215
              'type' => 'logic',
7216
            },
7217
            'direction' => 'in',
7218
            'hdlType' => 'std_logic',
7219
            'width' => 1,
7220
          },
7221
          'clr' => {
7222
            'attributes' => {
7223
              'domain' => '',
7224
              'group' => 1,
7225
              'isClr' => 1,
7226
              'is_floating_block' => 1,
7227
              'period' => 1,
7228
              'type' => 'logic',
7229
              'valid_bit_used' => 0,
7230
            },
7231
            'direction' => 'in',
7232
            'hdlType' => 'std_logic',
7233
            'width' => 1,
7234
          },
7235
          'data_in' => {
7236
            'attributes' => {
7237
              'bin_pt' => 0,
7238
              'is_floating_block' => 1,
7239
              'must_be_hdl_vector' => 1,
7240
              'period' => 1.0,
7241
              'port_id' => '0',
7242
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/data_in',
7243
              'type' => 'UFix_32_0',
7244
            },
7245
            'direction' => 'in',
7246
            'hdlType' => 'std_logic_vector(31 downto 0)',
7247
            'width' => 32,
7248
          },
7249
          'dout' => {
7250
            'attributes' => {
7251
              'bin_pt' => 0,
7252
              'is_floating_block' => 1,
7253
              'must_be_hdl_vector' => 1,
7254
              'period' => 1.0,
7255
              'port_id' => '0',
7256
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/dout',
7257
              'type' => 'UFix_32_0',
7258
            },
7259
            'direction' => 'out',
7260
            'hdlType' => 'std_logic_vector(31 downto 0)',
7261
            'width' => 32,
7262
          },
7263
          'en' => {
7264
            'attributes' => {
7265
              'bin_pt' => 0,
7266
              'is_floating_block' => 1,
7267
              'must_be_hdl_vector' => 1,
7268
              'period' => 1.0,
7269
              'port_id' => '1',
7270
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/en',
7271
              'type' => 'Bool',
7272
            },
7273
            'direction' => 'in',
7274
            'hdlType' => 'std_logic_vector(0 downto 0)',
7275
            'width' => 1,
7276
          },
7277
        },
7278
      },
7279
      'entityName' => 'x',
7280
    },
7281
    'to_register10' => {
7282
      'connections' => {
7283
        'ce' => 'ce_1_sg',
7284
        'clk' => 'clk_1_sg',
7285
        'clr' => [
7286
          'constant',
7287
          '\'0\'',
7288
        ],
7289
        'data_in' => 'reg04_tv_net_x0',
7290
        'dout' => 'to_register10_dout_net',
7291
        'en' => 'constant5_op_net_x1',
7292
      },
7293
      'entity' => {
7294
        'attributes' => {
7295
          'generics' => [],
7296
          'is_floating_block' => 1,
7297
          'mask' => {
7298
            'Block_Handle' => 39.0009765625,
7299
            'Block_handle' => 39.0009765625,
7300
            'MDL_Handle' => 3.0009765625,
7301
            'MDL_handle' => 3.0009765625,
7302
            'arith_type' => 1,
7303
            'bin_pt' => 14,
7304
            'block_config' => 'sysgen_blockset:toreg_config',
7305
            'block_handle' => 39.0009765625,
7306
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7307
            'block_type' => 'toreg',
7308
            'dbl_ovrd' => 0,
7309
            'explicit_data_type' => 0,
7310
            'init' => 0.0,
7311
            'init_bit_vector' => '0b',
7312
            'mdl_handle' => 3.0009765625,
7313
            'model_handle' => 3.0009765625,
7314
            'n_bits' => 16,
7315
            'ownership' => 1,
7316
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7317
            'shared_memory_name' => 'register04tv',
7318
          },
7319
          'needs_vhdl_wrapper' => 0,
7320
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7321
        },
7322
        'entityName' => 'x_x0',
7323
        'ports' => {
7324
          'ce' => {
7325
            'attributes' => {
7326
              'domain' => '',
7327
              'group' => 1,
7328
              'isCe' => 1,
7329
              'is_floating_block' => 1,
7330
              'period' => 1.0,
7331
              'type' => 'logic',
7332
            },
7333
            'direction' => 'in',
7334
            'hdlType' => 'std_logic',
7335
            'width' => 1,
7336
          },
7337
          'clk' => {
7338
            'attributes' => {
7339
              'domain' => '',
7340
              'group' => 1,
7341
              'isClk' => 1,
7342
              'is_floating_block' => 1,
7343
              'period' => 1.0,
7344
              'type' => 'logic',
7345
            },
7346
            'direction' => 'in',
7347
            'hdlType' => 'std_logic',
7348
            'width' => 1,
7349
          },
7350
          'clr' => {
7351
            'attributes' => {
7352
              'domain' => '',
7353
              'group' => 1,
7354
              'isClr' => 1,
7355
              'is_floating_block' => 1,
7356
              'period' => 1,
7357
              'type' => 'logic',
7358
              'valid_bit_used' => 0,
7359
            },
7360
            'direction' => 'in',
7361
            'hdlType' => 'std_logic',
7362
            'width' => 1,
7363
          },
7364
          'data_in' => {
7365
            'attributes' => {
7366
              'bin_pt' => 0,
7367
              'is_floating_block' => 1,
7368
              'must_be_hdl_vector' => 1,
7369
              'period' => 1.0,
7370
              'port_id' => '0',
7371
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/data_in',
7372
              'type' => 'Bool',
7373
            },
7374
            'direction' => 'in',
7375
            'hdlType' => 'std_logic_vector(0 downto 0)',
7376
            'width' => 1,
7377
          },
7378
          'dout' => {
7379
            'attributes' => {
7380
              'bin_pt' => 0,
7381
              'is_floating_block' => 1,
7382
              'must_be_hdl_vector' => 1,
7383
              'period' => 1.0,
7384
              'port_id' => '0',
7385
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/dout',
7386
              'type' => 'Bool',
7387
            },
7388
            'direction' => 'out',
7389
            'hdlType' => 'std_logic_vector(0 downto 0)',
7390
            'width' => 1,
7391
          },
7392
          'en' => {
7393
            'attributes' => {
7394
              'bin_pt' => 0,
7395
              'is_floating_block' => 1,
7396
              'must_be_hdl_vector' => 1,
7397
              'period' => 1.0,
7398
              'port_id' => '1',
7399
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/en',
7400
              'type' => 'Bool',
7401
            },
7402
            'direction' => 'in',
7403
            'hdlType' => 'std_logic_vector(0 downto 0)',
7404
            'width' => 1,
7405
          },
7406
        },
7407
      },
7408
      'entityName' => 'x_x0',
7409
    },
7410
    'to_register11' => {
7411
      'connections' => {
7412
        'ce' => 'ce_1_sg',
7413
        'clk' => 'clk_1_sg',
7414
        'clr' => [
7415
          'constant',
7416
          '\'0\'',
7417
        ],
7418
        'data_in' => 'reg04_td_net_x0',
7419
        'dout' => 'to_register11_dout_net',
7420
        'en' => 'constant5_op_net_x2',
7421
      },
7422
      'entity' => {
7423
        'attributes' => {
7424
          'generics' => [],
7425
          'is_floating_block' => 1,
7426
          'mask' => {
7427
            'Block_Handle' => 40.0009765625,
7428
            'Block_handle' => 40.0009765625,
7429
            'MDL_Handle' => 3.0009765625,
7430
            'MDL_handle' => 3.0009765625,
7431
            'arith_type' => 1,
7432
            'bin_pt' => 14,
7433
            'block_config' => 'sysgen_blockset:toreg_config',
7434
            'block_handle' => 40.0009765625,
7435
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7436
            'block_type' => 'toreg',
7437
            'dbl_ovrd' => 0,
7438
            'explicit_data_type' => 0,
7439
            'init' => 0.0,
7440
            'init_bit_vector' => '00000000000000000000000000000000b',
7441
            'mdl_handle' => 3.0009765625,
7442
            'model_handle' => 3.0009765625,
7443
            'n_bits' => 16,
7444
            'ownership' => 1,
7445
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7446
            'shared_memory_name' => 'register04td',
7447
          },
7448
          'needs_vhdl_wrapper' => 0,
7449
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7450
        },
7451
        'entityName' => 'x_x1',
7452
        'ports' => {
7453
          'ce' => {
7454
            'attributes' => {
7455
              'domain' => '',
7456
              'group' => 1,
7457
              'isCe' => 1,
7458
              'is_floating_block' => 1,
7459
              'period' => 1.0,
7460
              'type' => 'logic',
7461
            },
7462
            'direction' => 'in',
7463
            'hdlType' => 'std_logic',
7464
            'width' => 1,
7465
          },
7466
          'clk' => {
7467
            'attributes' => {
7468
              'domain' => '',
7469
              'group' => 1,
7470
              'isClk' => 1,
7471
              'is_floating_block' => 1,
7472
              'period' => 1.0,
7473
              'type' => 'logic',
7474
            },
7475
            'direction' => 'in',
7476
            'hdlType' => 'std_logic',
7477
            'width' => 1,
7478
          },
7479
          'clr' => {
7480
            'attributes' => {
7481
              'domain' => '',
7482
              'group' => 1,
7483
              'isClr' => 1,
7484
              'is_floating_block' => 1,
7485
              'period' => 1,
7486
              'type' => 'logic',
7487
              'valid_bit_used' => 0,
7488
            },
7489
            'direction' => 'in',
7490
            'hdlType' => 'std_logic',
7491
            'width' => 1,
7492
          },
7493
          'data_in' => {
7494
            'attributes' => {
7495
              'bin_pt' => 0,
7496
              'is_floating_block' => 1,
7497
              'must_be_hdl_vector' => 1,
7498
              'period' => 1.0,
7499
              'port_id' => '0',
7500
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/data_in',
7501
              'type' => 'UFix_32_0',
7502
            },
7503
            'direction' => 'in',
7504
            'hdlType' => 'std_logic_vector(31 downto 0)',
7505
            'width' => 32,
7506
          },
7507
          'dout' => {
7508
            'attributes' => {
7509
              'bin_pt' => 0,
7510
              'is_floating_block' => 1,
7511
              'must_be_hdl_vector' => 1,
7512
              'period' => 1.0,
7513
              'port_id' => '0',
7514
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/dout',
7515
              'type' => 'UFix_32_0',
7516
            },
7517
            'direction' => 'out',
7518
            'hdlType' => 'std_logic_vector(31 downto 0)',
7519
            'width' => 32,
7520
          },
7521
          'en' => {
7522
            'attributes' => {
7523
              'bin_pt' => 0,
7524
              'is_floating_block' => 1,
7525
              'must_be_hdl_vector' => 1,
7526
              'period' => 1.0,
7527
              'port_id' => '1',
7528
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/en',
7529
              'type' => 'Bool',
7530
            },
7531
            'direction' => 'in',
7532
            'hdlType' => 'std_logic_vector(0 downto 0)',
7533
            'width' => 1,
7534
          },
7535
        },
7536
      },
7537
      'entityName' => 'x_x1',
7538
    },
7539
    'to_register12' => {
7540
      'connections' => {
7541
        'ce' => 'ce_1_sg',
7542
        'clk' => 'clk_1_sg',
7543
        'clr' => [
7544
          'constant',
7545
          '\'0\'',
7546
        ],
7547
        'data_in' => 'reg05_tv_net_x0',
7548
        'dout' => 'to_register12_dout_net',
7549
        'en' => 'constant5_op_net_x3',
7550
      },
7551
      'entity' => {
7552
        'attributes' => {
7553
          'generics' => [],
7554
          'is_floating_block' => 1,
7555
          'mask' => {
7556
            'Block_Handle' => 41.0009765625,
7557
            'Block_handle' => 41.0009765625,
7558
            'MDL_Handle' => 3.0009765625,
7559
            'MDL_handle' => 3.0009765625,
7560
            'arith_type' => 1,
7561
            'bin_pt' => 14,
7562
            'block_config' => 'sysgen_blockset:toreg_config',
7563
            'block_handle' => 41.0009765625,
7564
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7565
            'block_type' => 'toreg',
7566
            'dbl_ovrd' => 0,
7567
            'explicit_data_type' => 0,
7568
            'init' => 0.0,
7569
            'init_bit_vector' => '0b',
7570
            'mdl_handle' => 3.0009765625,
7571
            'model_handle' => 3.0009765625,
7572
            'n_bits' => 16,
7573
            'ownership' => 1,
7574
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7575
            'shared_memory_name' => 'register05tv',
7576
          },
7577
          'needs_vhdl_wrapper' => 0,
7578
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7579
        },
7580
        'entityName' => 'x_x2',
7581
        'ports' => {
7582
          'ce' => {
7583
            'attributes' => {
7584
              'domain' => '',
7585
              'group' => 1,
7586
              'isCe' => 1,
7587
              'is_floating_block' => 1,
7588
              'period' => 1.0,
7589
              'type' => 'logic',
7590
            },
7591
            'direction' => 'in',
7592
            'hdlType' => 'std_logic',
7593
            'width' => 1,
7594
          },
7595
          'clk' => {
7596
            'attributes' => {
7597
              'domain' => '',
7598
              'group' => 1,
7599
              'isClk' => 1,
7600
              'is_floating_block' => 1,
7601
              'period' => 1.0,
7602
              'type' => 'logic',
7603
            },
7604
            'direction' => 'in',
7605
            'hdlType' => 'std_logic',
7606
            'width' => 1,
7607
          },
7608
          'clr' => {
7609
            'attributes' => {
7610
              'domain' => '',
7611
              'group' => 1,
7612
              'isClr' => 1,
7613
              'is_floating_block' => 1,
7614
              'period' => 1,
7615
              'type' => 'logic',
7616
              'valid_bit_used' => 0,
7617
            },
7618
            'direction' => 'in',
7619
            'hdlType' => 'std_logic',
7620
            'width' => 1,
7621
          },
7622
          'data_in' => {
7623
            'attributes' => {
7624
              'bin_pt' => 0,
7625
              'is_floating_block' => 1,
7626
              'must_be_hdl_vector' => 1,
7627
              'period' => 1.0,
7628
              'port_id' => '0',
7629
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/data_in',
7630
              'type' => 'Bool',
7631
            },
7632
            'direction' => 'in',
7633
            'hdlType' => 'std_logic_vector(0 downto 0)',
7634
            'width' => 1,
7635
          },
7636
          'dout' => {
7637
            'attributes' => {
7638
              'bin_pt' => 0,
7639
              'is_floating_block' => 1,
7640
              'must_be_hdl_vector' => 1,
7641
              'period' => 1.0,
7642
              'port_id' => '0',
7643
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/dout',
7644
              'type' => 'Bool',
7645
            },
7646
            'direction' => 'out',
7647
            'hdlType' => 'std_logic_vector(0 downto 0)',
7648
            'width' => 1,
7649
          },
7650
          'en' => {
7651
            'attributes' => {
7652
              'bin_pt' => 0,
7653
              'is_floating_block' => 1,
7654
              'must_be_hdl_vector' => 1,
7655
              'period' => 1.0,
7656
              'port_id' => '1',
7657
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/en',
7658
              'type' => 'Bool',
7659
            },
7660
            'direction' => 'in',
7661
            'hdlType' => 'std_logic_vector(0 downto 0)',
7662
            'width' => 1,
7663
          },
7664
        },
7665
      },
7666
      'entityName' => 'x_x2',
7667
    },
7668
    'to_register13' => {
7669
      'connections' => {
7670
        'ce' => 'ce_1_sg',
7671
        'clk' => 'clk_1_sg',
7672
        'clr' => [
7673
          'constant',
7674
          '\'0\'',
7675
        ],
7676
        'data_in' => 'reg05_td_net_x0',
7677
        'dout' => 'to_register13_dout_net',
7678
        'en' => 'constant5_op_net_x4',
7679
      },
7680
      'entity' => {
7681
        'attributes' => {
7682
          'generics' => [],
7683
          'is_floating_block' => 1,
7684
          'mask' => {
7685
            'Block_Handle' => 42.0009765625,
7686
            'Block_handle' => 42.0009765625,
7687
            'MDL_Handle' => 3.0009765625,
7688
            'MDL_handle' => 3.0009765625,
7689
            'arith_type' => 1,
7690
            'bin_pt' => 14,
7691
            'block_config' => 'sysgen_blockset:toreg_config',
7692
            'block_handle' => 42.0009765625,
7693
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7694
            'block_type' => 'toreg',
7695
            'dbl_ovrd' => 0,
7696
            'explicit_data_type' => 0,
7697
            'init' => 0.0,
7698
            'init_bit_vector' => '00000000000000000000000000000000b',
7699
            'mdl_handle' => 3.0009765625,
7700
            'model_handle' => 3.0009765625,
7701
            'n_bits' => 16,
7702
            'ownership' => 1,
7703
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7704
            'shared_memory_name' => 'register05td',
7705
          },
7706
          'needs_vhdl_wrapper' => 0,
7707
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7708
        },
7709
        'entityName' => 'x_x3',
7710
        'ports' => {
7711
          'ce' => {
7712
            'attributes' => {
7713
              'domain' => '',
7714
              'group' => 1,
7715
              'isCe' => 1,
7716
              'is_floating_block' => 1,
7717
              'period' => 1.0,
7718
              'type' => 'logic',
7719
            },
7720
            'direction' => 'in',
7721
            'hdlType' => 'std_logic',
7722
            'width' => 1,
7723
          },
7724
          'clk' => {
7725
            'attributes' => {
7726
              'domain' => '',
7727
              'group' => 1,
7728
              'isClk' => 1,
7729
              'is_floating_block' => 1,
7730
              'period' => 1.0,
7731
              'type' => 'logic',
7732
            },
7733
            'direction' => 'in',
7734
            'hdlType' => 'std_logic',
7735
            'width' => 1,
7736
          },
7737
          'clr' => {
7738
            'attributes' => {
7739
              'domain' => '',
7740
              'group' => 1,
7741
              'isClr' => 1,
7742
              'is_floating_block' => 1,
7743
              'period' => 1,
7744
              'type' => 'logic',
7745
              'valid_bit_used' => 0,
7746
            },
7747
            'direction' => 'in',
7748
            'hdlType' => 'std_logic',
7749
            'width' => 1,
7750
          },
7751
          'data_in' => {
7752
            'attributes' => {
7753
              'bin_pt' => 0,
7754
              'is_floating_block' => 1,
7755
              'must_be_hdl_vector' => 1,
7756
              'period' => 1.0,
7757
              'port_id' => '0',
7758
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/data_in',
7759
              'type' => 'UFix_32_0',
7760
            },
7761
            'direction' => 'in',
7762
            'hdlType' => 'std_logic_vector(31 downto 0)',
7763
            'width' => 32,
7764
          },
7765
          'dout' => {
7766
            'attributes' => {
7767
              'bin_pt' => 0,
7768
              'is_floating_block' => 1,
7769
              'must_be_hdl_vector' => 1,
7770
              'period' => 1.0,
7771
              'port_id' => '0',
7772
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/dout',
7773
              'type' => 'UFix_32_0',
7774
            },
7775
            'direction' => 'out',
7776
            'hdlType' => 'std_logic_vector(31 downto 0)',
7777
            'width' => 32,
7778
          },
7779
          'en' => {
7780
            'attributes' => {
7781
              'bin_pt' => 0,
7782
              'is_floating_block' => 1,
7783
              'must_be_hdl_vector' => 1,
7784
              'period' => 1.0,
7785
              'port_id' => '1',
7786
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/en',
7787
              'type' => 'Bool',
7788
            },
7789
            'direction' => 'in',
7790
            'hdlType' => 'std_logic_vector(0 downto 0)',
7791
            'width' => 1,
7792
          },
7793
        },
7794
      },
7795
      'entityName' => 'x_x3',
7796
    },
7797
    'to_register14' => {
7798
      'connections' => {
7799
        'ce' => 'ce_1_sg',
7800
        'clk' => 'clk_1_sg',
7801
        'clr' => [
7802
          'constant',
7803
          '\'0\'',
7804
        ],
7805
        'data_in' => 'reg06_tv_net_x0',
7806
        'dout' => 'to_register14_dout_net',
7807
        'en' => 'constant5_op_net_x5',
7808
      },
7809
      'entity' => {
7810
        'attributes' => {
7811
          'generics' => [],
7812
          'is_floating_block' => 1,
7813
          'mask' => {
7814
            'Block_Handle' => 43.0009765625,
7815
            'Block_handle' => 43.0009765625,
7816
            'MDL_Handle' => 3.0009765625,
7817
            'MDL_handle' => 3.0009765625,
7818
            'arith_type' => 1,
7819
            'bin_pt' => 14,
7820
            'block_config' => 'sysgen_blockset:toreg_config',
7821
            'block_handle' => 43.0009765625,
7822
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
7823
            'block_type' => 'toreg',
7824
            'dbl_ovrd' => 0,
7825
            'explicit_data_type' => 0,
7826
            'init' => 0.0,
7827
            'init_bit_vector' => '0b',
7828
            'mdl_handle' => 3.0009765625,
7829
            'model_handle' => 3.0009765625,
7830
            'n_bits' => 16,
7831
            'ownership' => 1,
7832
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7833
            'shared_memory_name' => 'register06tv',
7834
          },
7835
          'needs_vhdl_wrapper' => 0,
7836
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
7837
        },
7838
        'entityName' => 'x_x4',
7839
        'ports' => {
7840
          'ce' => {
7841
            'attributes' => {
7842
              'domain' => '',
7843
              'group' => 1,
7844
              'isCe' => 1,
7845
              'is_floating_block' => 1,
7846
              'period' => 1.0,
7847
              'type' => 'logic',
7848
            },
7849
            'direction' => 'in',
7850
            'hdlType' => 'std_logic',
7851
            'width' => 1,
7852
          },
7853
          'clk' => {
7854
            'attributes' => {
7855
              'domain' => '',
7856
              'group' => 1,
7857
              'isClk' => 1,
7858
              'is_floating_block' => 1,
7859
              'period' => 1.0,
7860
              'type' => 'logic',
7861
            },
7862
            'direction' => 'in',
7863
            'hdlType' => 'std_logic',
7864
            'width' => 1,
7865
          },
7866
          'clr' => {
7867
            'attributes' => {
7868
              'domain' => '',
7869
              'group' => 1,
7870
              'isClr' => 1,
7871
              'is_floating_block' => 1,
7872
              'period' => 1,
7873
              'type' => 'logic',
7874
              'valid_bit_used' => 0,
7875
            },
7876
            'direction' => 'in',
7877
            'hdlType' => 'std_logic',
7878
            'width' => 1,
7879
          },
7880
          'data_in' => {
7881
            'attributes' => {
7882
              'bin_pt' => 0,
7883
              'is_floating_block' => 1,
7884
              'must_be_hdl_vector' => 1,
7885
              'period' => 1.0,
7886
              'port_id' => '0',
7887
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/data_in',
7888
              'type' => 'Bool',
7889
            },
7890
            'direction' => 'in',
7891
            'hdlType' => 'std_logic_vector(0 downto 0)',
7892
            'width' => 1,
7893
          },
7894
          'dout' => {
7895
            'attributes' => {
7896
              'bin_pt' => 0,
7897
              'is_floating_block' => 1,
7898
              'must_be_hdl_vector' => 1,
7899
              'period' => 1.0,
7900
              'port_id' => '0',
7901
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/dout',
7902
              'type' => 'Bool',
7903
            },
7904
            'direction' => 'out',
7905
            'hdlType' => 'std_logic_vector(0 downto 0)',
7906
            'width' => 1,
7907
          },
7908
          'en' => {
7909
            'attributes' => {
7910
              'bin_pt' => 0,
7911
              'is_floating_block' => 1,
7912
              'must_be_hdl_vector' => 1,
7913
              'period' => 1.0,
7914
              'port_id' => '1',
7915
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/en',
7916
              'type' => 'Bool',
7917
            },
7918
            'direction' => 'in',
7919
            'hdlType' => 'std_logic_vector(0 downto 0)',
7920
            'width' => 1,
7921
          },
7922
        },
7923
      },
7924
      'entityName' => 'x_x4',
7925
    },
7926
    'to_register15' => {
7927
      'connections' => {
7928
        'ce' => 'ce_1_sg',
7929
        'clk' => 'clk_1_sg',
7930
        'clr' => [
7931
          'constant',
7932
          '\'0\'',
7933
        ],
7934
        'data_in' => 'reg06_td_net_x0',
7935
        'dout' => 'to_register15_dout_net',
7936
        'en' => 'constant5_op_net_x6',
7937
      },
7938
      'entity' => {
7939
        'attributes' => {
7940
          'generics' => [],
7941
          'is_floating_block' => 1,
7942
          'mask' => {
7943
            'Block_Handle' => 44.0009765625,
7944
            'Block_handle' => 44.0009765625,
7945
            'MDL_Handle' => 3.0009765625,
7946
            'MDL_handle' => 3.0009765625,
7947
            'arith_type' => 1,
7948
            'bin_pt' => 14,
7949
            'block_config' => 'sysgen_blockset:toreg_config',
7950
            'block_handle' => 44.0009765625,
7951
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
7952
            'block_type' => 'toreg',
7953
            'dbl_ovrd' => 0,
7954
            'explicit_data_type' => 0,
7955
            'init' => 0.0,
7956
            'init_bit_vector' => '00000000000000000000000000000000b',
7957
            'mdl_handle' => 3.0009765625,
7958
            'model_handle' => 3.0009765625,
7959
            'n_bits' => 16,
7960
            'ownership' => 1,
7961
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7962
            'shared_memory_name' => 'register06td',
7963
          },
7964
          'needs_vhdl_wrapper' => 0,
7965
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
7966
        },
7967
        'entityName' => 'x_x5',
7968
        'ports' => {
7969
          'ce' => {
7970
            'attributes' => {
7971
              'domain' => '',
7972
              'group' => 1,
7973
              'isCe' => 1,
7974
              'is_floating_block' => 1,
7975
              'period' => 1.0,
7976
              'type' => 'logic',
7977
            },
7978
            'direction' => 'in',
7979
            'hdlType' => 'std_logic',
7980
            'width' => 1,
7981
          },
7982
          'clk' => {
7983
            'attributes' => {
7984
              'domain' => '',
7985
              'group' => 1,
7986
              'isClk' => 1,
7987
              'is_floating_block' => 1,
7988
              'period' => 1.0,
7989
              'type' => 'logic',
7990
            },
7991
            'direction' => 'in',
7992
            'hdlType' => 'std_logic',
7993
            'width' => 1,
7994
          },
7995
          'clr' => {
7996
            'attributes' => {
7997
              'domain' => '',
7998
              'group' => 1,
7999
              'isClr' => 1,
8000
              'is_floating_block' => 1,
8001
              'period' => 1,
8002
              'type' => 'logic',
8003
              'valid_bit_used' => 0,
8004
            },
8005
            'direction' => 'in',
8006
            'hdlType' => 'std_logic',
8007
            'width' => 1,
8008
          },
8009
          'data_in' => {
8010
            'attributes' => {
8011
              'bin_pt' => 0,
8012
              'is_floating_block' => 1,
8013
              'must_be_hdl_vector' => 1,
8014
              'period' => 1.0,
8015
              'port_id' => '0',
8016
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/data_in',
8017
              'type' => 'UFix_32_0',
8018
            },
8019
            'direction' => 'in',
8020
            'hdlType' => 'std_logic_vector(31 downto 0)',
8021
            'width' => 32,
8022
          },
8023
          'dout' => {
8024
            'attributes' => {
8025
              'bin_pt' => 0,
8026
              'is_floating_block' => 1,
8027
              'must_be_hdl_vector' => 1,
8028
              'period' => 1.0,
8029
              'port_id' => '0',
8030
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/dout',
8031
              'type' => 'UFix_32_0',
8032
            },
8033
            'direction' => 'out',
8034
            'hdlType' => 'std_logic_vector(31 downto 0)',
8035
            'width' => 32,
8036
          },
8037
          'en' => {
8038
            'attributes' => {
8039
              'bin_pt' => 0,
8040
              'is_floating_block' => 1,
8041
              'must_be_hdl_vector' => 1,
8042
              'period' => 1.0,
8043
              'port_id' => '1',
8044
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/en',
8045
              'type' => 'Bool',
8046
            },
8047
            'direction' => 'in',
8048
            'hdlType' => 'std_logic_vector(0 downto 0)',
8049
            'width' => 1,
8050
          },
8051
        },
8052
      },
8053
      'entityName' => 'x_x5',
8054
    },
8055
    'to_register16' => {
8056
      'connections' => {
8057
        'ce' => 'ce_1_sg',
8058
        'clk' => 'clk_1_sg',
8059
        'clr' => [
8060
          'constant',
8061
          '\'0\'',
8062
        ],
8063
        'data_in' => 'reg07_tv_net_x0',
8064
        'dout' => 'to_register16_dout_net',
8065
        'en' => 'constant5_op_net_x7',
8066
      },
8067
      'entity' => {
8068
        'attributes' => {
8069
          'generics' => [],
8070
          'is_floating_block' => 1,
8071
          'mask' => {
8072
            'Block_Handle' => 45.0009765625,
8073
            'Block_handle' => 45.0009765625,
8074
            'MDL_Handle' => 3.0009765625,
8075
            'MDL_handle' => 3.0009765625,
8076
            'arith_type' => 1,
8077
            'bin_pt' => 14,
8078
            'block_config' => 'sysgen_blockset:toreg_config',
8079
            'block_handle' => 45.0009765625,
8080
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8081
            'block_type' => 'toreg',
8082
            'dbl_ovrd' => 0,
8083
            'explicit_data_type' => 0,
8084
            'init' => 0.0,
8085
            'init_bit_vector' => '0b',
8086
            'mdl_handle' => 3.0009765625,
8087
            'model_handle' => 3.0009765625,
8088
            'n_bits' => 16,
8089
            'ownership' => 1,
8090
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8091
            'shared_memory_name' => 'register07tv',
8092
          },
8093
          'needs_vhdl_wrapper' => 0,
8094
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8095
        },
8096
        'entityName' => 'x_x6',
8097
        'ports' => {
8098
          'ce' => {
8099
            'attributes' => {
8100
              'domain' => '',
8101
              'group' => 1,
8102
              'isCe' => 1,
8103
              'is_floating_block' => 1,
8104
              'period' => 1.0,
8105
              'type' => 'logic',
8106
            },
8107
            'direction' => 'in',
8108
            'hdlType' => 'std_logic',
8109
            'width' => 1,
8110
          },
8111
          'clk' => {
8112
            'attributes' => {
8113
              'domain' => '',
8114
              'group' => 1,
8115
              'isClk' => 1,
8116
              'is_floating_block' => 1,
8117
              'period' => 1.0,
8118
              'type' => 'logic',
8119
            },
8120
            'direction' => 'in',
8121
            'hdlType' => 'std_logic',
8122
            'width' => 1,
8123
          },
8124
          'clr' => {
8125
            'attributes' => {
8126
              'domain' => '',
8127
              'group' => 1,
8128
              'isClr' => 1,
8129
              'is_floating_block' => 1,
8130
              'period' => 1,
8131
              'type' => 'logic',
8132
              'valid_bit_used' => 0,
8133
            },
8134
            'direction' => 'in',
8135
            'hdlType' => 'std_logic',
8136
            'width' => 1,
8137
          },
8138
          'data_in' => {
8139
            'attributes' => {
8140
              'bin_pt' => 0,
8141
              'is_floating_block' => 1,
8142
              'must_be_hdl_vector' => 1,
8143
              'period' => 1.0,
8144
              'port_id' => '0',
8145
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/data_in',
8146
              'type' => 'Bool',
8147
            },
8148
            'direction' => 'in',
8149
            'hdlType' => 'std_logic_vector(0 downto 0)',
8150
            'width' => 1,
8151
          },
8152
          'dout' => {
8153
            'attributes' => {
8154
              'bin_pt' => 0,
8155
              'is_floating_block' => 1,
8156
              'must_be_hdl_vector' => 1,
8157
              'period' => 1.0,
8158
              'port_id' => '0',
8159
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/dout',
8160
              'type' => 'Bool',
8161
            },
8162
            'direction' => 'out',
8163
            'hdlType' => 'std_logic_vector(0 downto 0)',
8164
            'width' => 1,
8165
          },
8166
          'en' => {
8167
            'attributes' => {
8168
              'bin_pt' => 0,
8169
              'is_floating_block' => 1,
8170
              'must_be_hdl_vector' => 1,
8171
              'period' => 1.0,
8172
              'port_id' => '1',
8173
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/en',
8174
              'type' => 'Bool',
8175
            },
8176
            'direction' => 'in',
8177
            'hdlType' => 'std_logic_vector(0 downto 0)',
8178
            'width' => 1,
8179
          },
8180
        },
8181
      },
8182
      'entityName' => 'x_x6',
8183
    },
8184
    'to_register17' => {
8185
      'connections' => {
8186
        'ce' => 'ce_1_sg',
8187
        'clk' => 'clk_1_sg',
8188
        'clr' => [
8189
          'constant',
8190
          '\'0\'',
8191
        ],
8192
        'data_in' => 'reg07_td_net_x0',
8193
        'dout' => 'to_register17_dout_net',
8194
        'en' => 'constant5_op_net_x8',
8195
      },
8196
      'entity' => {
8197
        'attributes' => {
8198
          'generics' => [],
8199
          'is_floating_block' => 1,
8200
          'mask' => {
8201
            'Block_Handle' => 46.0009765625,
8202
            'Block_handle' => 46.0009765625,
8203
            'MDL_Handle' => 3.0009765625,
8204
            'MDL_handle' => 3.0009765625,
8205
            'arith_type' => 1,
8206
            'bin_pt' => 14,
8207
            'block_config' => 'sysgen_blockset:toreg_config',
8208
            'block_handle' => 46.0009765625,
8209
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8210
            'block_type' => 'toreg',
8211
            'dbl_ovrd' => 0,
8212
            'explicit_data_type' => 0,
8213
            'init' => 0.0,
8214
            'init_bit_vector' => '00000000000000000000000000000000b',
8215
            'mdl_handle' => 3.0009765625,
8216
            'model_handle' => 3.0009765625,
8217
            'n_bits' => 16,
8218
            'ownership' => 1,
8219
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8220
            'shared_memory_name' => 'register07td',
8221
          },
8222
          'needs_vhdl_wrapper' => 0,
8223
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8224
        },
8225
        'entityName' => 'x_x7',
8226
        'ports' => {
8227
          'ce' => {
8228
            'attributes' => {
8229
              'domain' => '',
8230
              'group' => 1,
8231
              'isCe' => 1,
8232
              'is_floating_block' => 1,
8233
              'period' => 1.0,
8234
              'type' => 'logic',
8235
            },
8236
            'direction' => 'in',
8237
            'hdlType' => 'std_logic',
8238
            'width' => 1,
8239
          },
8240
          'clk' => {
8241
            'attributes' => {
8242
              'domain' => '',
8243
              'group' => 1,
8244
              'isClk' => 1,
8245
              'is_floating_block' => 1,
8246
              'period' => 1.0,
8247
              'type' => 'logic',
8248
            },
8249
            'direction' => 'in',
8250
            'hdlType' => 'std_logic',
8251
            'width' => 1,
8252
          },
8253
          'clr' => {
8254
            'attributes' => {
8255
              'domain' => '',
8256
              'group' => 1,
8257
              'isClr' => 1,
8258
              'is_floating_block' => 1,
8259
              'period' => 1,
8260
              'type' => 'logic',
8261
              'valid_bit_used' => 0,
8262
            },
8263
            'direction' => 'in',
8264
            'hdlType' => 'std_logic',
8265
            'width' => 1,
8266
          },
8267
          'data_in' => {
8268
            'attributes' => {
8269
              'bin_pt' => 0,
8270
              'is_floating_block' => 1,
8271
              'must_be_hdl_vector' => 1,
8272
              'period' => 1.0,
8273
              'port_id' => '0',
8274
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/data_in',
8275
              'type' => 'UFix_32_0',
8276
            },
8277
            'direction' => 'in',
8278
            'hdlType' => 'std_logic_vector(31 downto 0)',
8279
            'width' => 32,
8280
          },
8281
          'dout' => {
8282
            'attributes' => {
8283
              'bin_pt' => 0,
8284
              'is_floating_block' => 1,
8285
              'must_be_hdl_vector' => 1,
8286
              'period' => 1.0,
8287
              'port_id' => '0',
8288
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/dout',
8289
              'type' => 'UFix_32_0',
8290
            },
8291
            'direction' => 'out',
8292
            'hdlType' => 'std_logic_vector(31 downto 0)',
8293
            'width' => 32,
8294
          },
8295
          'en' => {
8296
            'attributes' => {
8297
              'bin_pt' => 0,
8298
              'is_floating_block' => 1,
8299
              'must_be_hdl_vector' => 1,
8300
              'period' => 1.0,
8301
              'port_id' => '1',
8302
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/en',
8303
              'type' => 'Bool',
8304
            },
8305
            'direction' => 'in',
8306
            'hdlType' => 'std_logic_vector(0 downto 0)',
8307
            'width' => 1,
8308
          },
8309
        },
8310
      },
8311
      'entityName' => 'x_x7',
8312
    },
8313
    'to_register18' => {
8314
      'connections' => {
8315
        'ce' => 'ce_1_sg',
8316
        'clk' => 'clk_1_sg',
8317
        'clr' => [
8318
          'constant',
8319
          '\'0\'',
8320
        ],
8321
        'data_in' => 'dma_host2board_busy_net_x0',
8322
        'dout' => 'to_register18_dout_net',
8323
        'en' => 'constant5_op_net_x9',
8324
      },
8325
      'entity' => {
8326
        'attributes' => {
8327
          'generics' => [],
8328
          'is_floating_block' => 1,
8329
          'mask' => {
8330
            'Block_Handle' => 47.0009765625,
8331
            'Block_handle' => 47.0009765625,
8332
            'MDL_Handle' => 3.0009765625,
8333
            'MDL_handle' => 3.0009765625,
8334
            'arith_type' => 1,
8335
            'bin_pt' => 14,
8336
            'block_config' => 'sysgen_blockset:toreg_config',
8337
            'block_handle' => 47.0009765625,
8338
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8339
            'block_type' => 'toreg',
8340
            'dbl_ovrd' => 0,
8341
            'explicit_data_type' => 0,
8342
            'init' => 0.0,
8343
            'init_bit_vector' => '0b',
8344
            'mdl_handle' => 3.0009765625,
8345
            'model_handle' => 3.0009765625,
8346
            'n_bits' => 16,
8347
            'ownership' => 1,
8348
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8349
            'shared_memory_name' => 'DMA_Host2Board_Busy',
8350
          },
8351
          'needs_vhdl_wrapper' => 0,
8352
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8353
        },
8354
        'entityName' => 'x_x8',
8355
        'ports' => {
8356
          'ce' => {
8357
            'attributes' => {
8358
              'domain' => '',
8359
              'group' => 1,
8360
              'isCe' => 1,
8361
              'is_floating_block' => 1,
8362
              'period' => 1.0,
8363
              'type' => 'logic',
8364
            },
8365
            'direction' => 'in',
8366
            'hdlType' => 'std_logic',
8367
            'width' => 1,
8368
          },
8369
          'clk' => {
8370
            'attributes' => {
8371
              'domain' => '',
8372
              'group' => 1,
8373
              'isClk' => 1,
8374
              'is_floating_block' => 1,
8375
              'period' => 1.0,
8376
              'type' => 'logic',
8377
            },
8378
            'direction' => 'in',
8379
            'hdlType' => 'std_logic',
8380
            'width' => 1,
8381
          },
8382
          'clr' => {
8383
            'attributes' => {
8384
              'domain' => '',
8385
              'group' => 1,
8386
              'isClr' => 1,
8387
              'is_floating_block' => 1,
8388
              'period' => 1,
8389
              'type' => 'logic',
8390
              'valid_bit_used' => 0,
8391
            },
8392
            'direction' => 'in',
8393
            'hdlType' => 'std_logic',
8394
            'width' => 1,
8395
          },
8396
          'data_in' => {
8397
            'attributes' => {
8398
              'bin_pt' => 0,
8399
              'is_floating_block' => 1,
8400
              'must_be_hdl_vector' => 1,
8401
              'period' => 1.0,
8402
              'port_id' => '0',
8403
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/data_in',
8404
              'type' => 'UFix_1_0',
8405
            },
8406
            'direction' => 'in',
8407
            'hdlType' => 'std_logic_vector(0 downto 0)',
8408
            'width' => 1,
8409
          },
8410
          'dout' => {
8411
            'attributes' => {
8412
              'bin_pt' => 0,
8413
              'is_floating_block' => 1,
8414
              'must_be_hdl_vector' => 1,
8415
              'period' => 1.0,
8416
              'port_id' => '0',
8417
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/dout',
8418
              'type' => 'UFix_1_0',
8419
            },
8420
            'direction' => 'out',
8421
            'hdlType' => 'std_logic_vector(0 downto 0)',
8422
            'width' => 1,
8423
          },
8424
          'en' => {
8425
            'attributes' => {
8426
              'bin_pt' => 0,
8427
              'is_floating_block' => 1,
8428
              'must_be_hdl_vector' => 1,
8429
              'period' => 1.0,
8430
              'port_id' => '1',
8431
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/en',
8432
              'type' => 'Bool',
8433
            },
8434
            'direction' => 'in',
8435
            'hdlType' => 'std_logic_vector(0 downto 0)',
8436
            'width' => 1,
8437
          },
8438
        },
8439
      },
8440
      'entityName' => 'x_x8',
8441
    },
8442
    'to_register19' => {
8443
      'connections' => {
8444
        'ce' => 'ce_1_sg',
8445
        'clk' => 'clk_1_sg',
8446
        'clr' => [
8447
          'constant',
8448
          '\'0\'',
8449
        ],
8450
        'data_in' => 'dma_host2board_done_net_x0',
8451
        'dout' => 'to_register19_dout_net',
8452
        'en' => 'constant5_op_net_x10',
8453
      },
8454
      'entity' => {
8455
        'attributes' => {
8456
          'generics' => [],
8457
          'is_floating_block' => 1,
8458
          'mask' => {
8459
            'Block_Handle' => 48.0009765625,
8460
            'Block_handle' => 48.0009765625,
8461
            'MDL_Handle' => 3.0009765625,
8462
            'MDL_handle' => 3.0009765625,
8463
            'arith_type' => 1,
8464
            'bin_pt' => 14,
8465
            'block_config' => 'sysgen_blockset:toreg_config',
8466
            'block_handle' => 48.0009765625,
8467
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8468
            'block_type' => 'toreg',
8469
            'dbl_ovrd' => 0,
8470
            'explicit_data_type' => 0,
8471
            'init' => 0.0,
8472
            'init_bit_vector' => '0b',
8473
            'mdl_handle' => 3.0009765625,
8474
            'model_handle' => 3.0009765625,
8475
            'n_bits' => 16,
8476
            'ownership' => 1,
8477
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8478
            'shared_memory_name' => 'DMA_Host2Board_Done',
8479
          },
8480
          'needs_vhdl_wrapper' => 0,
8481
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8482
        },
8483
        'entityName' => 'x_x9',
8484
        'ports' => {
8485
          'ce' => {
8486
            'attributes' => {
8487
              'domain' => '',
8488
              'group' => 1,
8489
              'isCe' => 1,
8490
              'is_floating_block' => 1,
8491
              'period' => 1.0,
8492
              'type' => 'logic',
8493
            },
8494
            'direction' => 'in',
8495
            'hdlType' => 'std_logic',
8496
            'width' => 1,
8497
          },
8498
          'clk' => {
8499
            'attributes' => {
8500
              'domain' => '',
8501
              'group' => 1,
8502
              'isClk' => 1,
8503
              'is_floating_block' => 1,
8504
              'period' => 1.0,
8505
              'type' => 'logic',
8506
            },
8507
            'direction' => 'in',
8508
            'hdlType' => 'std_logic',
8509
            'width' => 1,
8510
          },
8511
          'clr' => {
8512
            'attributes' => {
8513
              'domain' => '',
8514
              'group' => 1,
8515
              'isClr' => 1,
8516
              'is_floating_block' => 1,
8517
              'period' => 1,
8518
              'type' => 'logic',
8519
              'valid_bit_used' => 0,
8520
            },
8521
            'direction' => 'in',
8522
            'hdlType' => 'std_logic',
8523
            'width' => 1,
8524
          },
8525
          'data_in' => {
8526
            'attributes' => {
8527
              'bin_pt' => 0,
8528
              'is_floating_block' => 1,
8529
              'must_be_hdl_vector' => 1,
8530
              'period' => 1.0,
8531
              'port_id' => '0',
8532
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/data_in',
8533
              'type' => 'UFix_1_0',
8534
            },
8535
            'direction' => 'in',
8536
            'hdlType' => 'std_logic_vector(0 downto 0)',
8537
            'width' => 1,
8538
          },
8539
          'dout' => {
8540
            'attributes' => {
8541
              'bin_pt' => 0,
8542
              'is_floating_block' => 1,
8543
              'must_be_hdl_vector' => 1,
8544
              'period' => 1.0,
8545
              'port_id' => '0',
8546
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/dout',
8547
              'type' => 'UFix_1_0',
8548
            },
8549
            'direction' => 'out',
8550
            'hdlType' => 'std_logic_vector(0 downto 0)',
8551
            'width' => 1,
8552
          },
8553
          'en' => {
8554
            'attributes' => {
8555
              'bin_pt' => 0,
8556
              'is_floating_block' => 1,
8557
              'must_be_hdl_vector' => 1,
8558
              'period' => 1.0,
8559
              'port_id' => '1',
8560
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/en',
8561
              'type' => 'Bool',
8562
            },
8563
            'direction' => 'in',
8564
            'hdlType' => 'std_logic_vector(0 downto 0)',
8565
            'width' => 1,
8566
          },
8567
        },
8568
      },
8569
      'entityName' => 'x_x9',
8570
    },
8571
    'to_register2' => {
8572
      'connections' => {
8573
        'ce' => 'ce_1_sg',
8574
        'clk' => 'clk_1_sg',
8575
        'clr' => [
8576
          'constant',
8577
          '\'0\'',
8578
        ],
8579
        'data_in' => 'debug_in_3i_net_x0',
8580
        'dout' => 'to_register2_dout_net',
8581
        'en' => 'constant5_op_net_x11',
8582
      },
8583
      'entity' => {
8584
        'attributes' => {
8585
          'generics' => [],
8586
          'is_floating_block' => 1,
8587
          'mask' => {
8588
            'Block_Handle' => 49.0009765625,
8589
            'Block_handle' => 49.0009765625,
8590
            'MDL_Handle' => 3.0009765625,
8591
            'MDL_handle' => 3.0009765625,
8592
            'arith_type' => 1,
8593
            'bin_pt' => 14,
8594
            'block_config' => 'sysgen_blockset:toreg_config',
8595
            'block_handle' => 49.0009765625,
8596
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8597
            'block_type' => 'toreg',
8598
            'dbl_ovrd' => 0,
8599
            'explicit_data_type' => 0,
8600
            'init' => 0.0,
8601
            'init_bit_vector' => '00000000000000000000000000000000b',
8602
            'mdl_handle' => 3.0009765625,
8603
            'model_handle' => 3.0009765625,
8604
            'n_bits' => 16,
8605
            'ownership' => 1,
8606
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8607
            'shared_memory_name' => 'debug3i',
8608
          },
8609
          'needs_vhdl_wrapper' => 0,
8610
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8611
        },
8612
        'entityName' => 'x_x10',
8613
        'ports' => {
8614
          'ce' => {
8615
            'attributes' => {
8616
              'domain' => '',
8617
              'group' => 1,
8618
              'isCe' => 1,
8619
              'is_floating_block' => 1,
8620
              'period' => 1.0,
8621
              'type' => 'logic',
8622
            },
8623
            'direction' => 'in',
8624
            'hdlType' => 'std_logic',
8625
            'width' => 1,
8626
          },
8627
          'clk' => {
8628
            'attributes' => {
8629
              'domain' => '',
8630
              'group' => 1,
8631
              'isClk' => 1,
8632
              'is_floating_block' => 1,
8633
              'period' => 1.0,
8634
              'type' => 'logic',
8635
            },
8636
            'direction' => 'in',
8637
            'hdlType' => 'std_logic',
8638
            'width' => 1,
8639
          },
8640
          'clr' => {
8641
            'attributes' => {
8642
              'domain' => '',
8643
              'group' => 1,
8644
              'isClr' => 1,
8645
              'is_floating_block' => 1,
8646
              'period' => 1,
8647
              'type' => 'logic',
8648
              'valid_bit_used' => 0,
8649
            },
8650
            'direction' => 'in',
8651
            'hdlType' => 'std_logic',
8652
            'width' => 1,
8653
          },
8654
          'data_in' => {
8655
            'attributes' => {
8656
              'bin_pt' => 0,
8657
              'is_floating_block' => 1,
8658
              'must_be_hdl_vector' => 1,
8659
              'period' => 1.0,
8660
              'port_id' => '0',
8661
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/data_in',
8662
              'type' => 'UFix_32_0',
8663
            },
8664
            'direction' => 'in',
8665
            'hdlType' => 'std_logic_vector(31 downto 0)',
8666
            'width' => 32,
8667
          },
8668
          'dout' => {
8669
            'attributes' => {
8670
              'bin_pt' => 0,
8671
              'is_floating_block' => 1,
8672
              'must_be_hdl_vector' => 1,
8673
              'period' => 1.0,
8674
              'port_id' => '0',
8675
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/dout',
8676
              'type' => 'UFix_32_0',
8677
            },
8678
            'direction' => 'out',
8679
            'hdlType' => 'std_logic_vector(31 downto 0)',
8680
            'width' => 32,
8681
          },
8682
          'en' => {
8683
            'attributes' => {
8684
              'bin_pt' => 0,
8685
              'is_floating_block' => 1,
8686
              'must_be_hdl_vector' => 1,
8687
              'period' => 1.0,
8688
              'port_id' => '1',
8689
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/en',
8690
              'type' => 'Bool',
8691
            },
8692
            'direction' => 'in',
8693
            'hdlType' => 'std_logic_vector(0 downto 0)',
8694
            'width' => 1,
8695
          },
8696
        },
8697
      },
8698
      'entityName' => 'x_x10',
8699
    },
8700
    'to_register20' => {
8701
      'connections' => {
8702
        'ce' => 'ce_1_sg',
8703
        'clk' => 'clk_1_sg',
8704
        'clr' => [
8705
          'constant',
8706
          '\'0\'',
8707
        ],
8708
        'data_in' => 'debug_in_4i_net_x0',
8709
        'dout' => 'to_register20_dout_net',
8710
        'en' => 'constant5_op_net_x12',
8711
      },
8712
      'entity' => {
8713
        'attributes' => {
8714
          'generics' => [],
8715
          'is_floating_block' => 1,
8716
          'mask' => {
8717
            'Block_Handle' => 50.0009765625,
8718
            'Block_handle' => 50.0009765625,
8719
            'MDL_Handle' => 3.0009765625,
8720
            'MDL_handle' => 3.0009765625,
8721
            'arith_type' => 1,
8722
            'bin_pt' => 14,
8723
            'block_config' => 'sysgen_blockset:toreg_config',
8724
            'block_handle' => 50.0009765625,
8725
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
8726
            'block_type' => 'toreg',
8727
            'dbl_ovrd' => 0,
8728
            'explicit_data_type' => 0,
8729
            'init' => 0.0,
8730
            'init_bit_vector' => '00000000000000000000000000000000b',
8731
            'mdl_handle' => 3.0009765625,
8732
            'model_handle' => 3.0009765625,
8733
            'n_bits' => 16,
8734
            'ownership' => 1,
8735
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8736
            'shared_memory_name' => 'debug4i',
8737
          },
8738
          'needs_vhdl_wrapper' => 0,
8739
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
8740
        },
8741
        'entityName' => 'x_x11',
8742
        'ports' => {
8743
          'ce' => {
8744
            'attributes' => {
8745
              'domain' => '',
8746
              'group' => 1,
8747
              'isCe' => 1,
8748
              'is_floating_block' => 1,
8749
              'period' => 1.0,
8750
              'type' => 'logic',
8751
            },
8752
            'direction' => 'in',
8753
            'hdlType' => 'std_logic',
8754
            'width' => 1,
8755
          },
8756
          'clk' => {
8757
            'attributes' => {
8758
              'domain' => '',
8759
              'group' => 1,
8760
              'isClk' => 1,
8761
              'is_floating_block' => 1,
8762
              'period' => 1.0,
8763
              'type' => 'logic',
8764
            },
8765
            'direction' => 'in',
8766
            'hdlType' => 'std_logic',
8767
            'width' => 1,
8768
          },
8769
          'clr' => {
8770
            'attributes' => {
8771
              'domain' => '',
8772
              'group' => 1,
8773
              'isClr' => 1,
8774
              'is_floating_block' => 1,
8775
              'period' => 1,
8776
              'type' => 'logic',
8777
              'valid_bit_used' => 0,
8778
            },
8779
            'direction' => 'in',
8780
            'hdlType' => 'std_logic',
8781
            'width' => 1,
8782
          },
8783
          'data_in' => {
8784
            'attributes' => {
8785
              'bin_pt' => 0,
8786
              'is_floating_block' => 1,
8787
              'must_be_hdl_vector' => 1,
8788
              'period' => 1.0,
8789
              'port_id' => '0',
8790
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/data_in',
8791
              'type' => 'UFix_32_0',
8792
            },
8793
            'direction' => 'in',
8794
            'hdlType' => 'std_logic_vector(31 downto 0)',
8795
            'width' => 32,
8796
          },
8797
          'dout' => {
8798
            'attributes' => {
8799
              'bin_pt' => 0,
8800
              'is_floating_block' => 1,
8801
              'must_be_hdl_vector' => 1,
8802
              'period' => 1.0,
8803
              'port_id' => '0',
8804
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/dout',
8805
              'type' => 'UFix_32_0',
8806
            },
8807
            'direction' => 'out',
8808
            'hdlType' => 'std_logic_vector(31 downto 0)',
8809
            'width' => 32,
8810
          },
8811
          'en' => {
8812
            'attributes' => {
8813
              'bin_pt' => 0,
8814
              'is_floating_block' => 1,
8815
              'must_be_hdl_vector' => 1,
8816
              'period' => 1.0,
8817
              'port_id' => '1',
8818
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/en',
8819
              'type' => 'Bool',
8820
            },
8821
            'direction' => 'in',
8822
            'hdlType' => 'std_logic_vector(0 downto 0)',
8823
            'width' => 1,
8824
          },
8825
        },
8826
      },
8827
      'entityName' => 'x_x11',
8828
    },
8829
    'to_register21' => {
8830
      'connections' => {
8831
        'ce' => 'ce_1_sg',
8832
        'clk' => 'clk_1_sg',
8833
        'clr' => [
8834
          'constant',
8835
          '\'0\'',
8836
        ],
8837
        'data_in' => 'reg09_tv_net_x0',
8838
        'dout' => 'to_register21_dout_net',
8839
        'en' => 'constant1_op_net_x0',
8840
      },
8841
      'entity' => {
8842
        'attributes' => {
8843
          'generics' => [],
8844
          'is_floating_block' => 1,
8845
          'mask' => {
8846
            'Block_Handle' => 51.0009765625,
8847
            'Block_handle' => 51.0009765625,
8848
            'MDL_Handle' => 3.0009765625,
8849
            'MDL_handle' => 3.0009765625,
8850
            'arith_type' => 1,
8851
            'bin_pt' => 14,
8852
            'block_config' => 'sysgen_blockset:toreg_config',
8853
            'block_handle' => 51.0009765625,
8854
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
8855
            'block_type' => 'toreg',
8856
            'dbl_ovrd' => 0,
8857
            'explicit_data_type' => 0,
8858
            'init' => 0.0,
8859
            'init_bit_vector' => '0b',
8860
            'mdl_handle' => 3.0009765625,
8861
            'model_handle' => 3.0009765625,
8862
            'n_bits' => 16,
8863
            'ownership' => 1,
8864
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8865
            'shared_memory_name' => 'register09tv',
8866
          },
8867
          'needs_vhdl_wrapper' => 0,
8868
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
8869
        },
8870
        'entityName' => 'x_x12',
8871
        'ports' => {
8872
          'ce' => {
8873
            'attributes' => {
8874
              'domain' => '',
8875
              'group' => 1,
8876
              'isCe' => 1,
8877
              'is_floating_block' => 1,
8878
              'period' => 1.0,
8879
              'type' => 'logic',
8880
            },
8881
            'direction' => 'in',
8882
            'hdlType' => 'std_logic',
8883
            'width' => 1,
8884
          },
8885
          'clk' => {
8886
            'attributes' => {
8887
              'domain' => '',
8888
              'group' => 1,
8889
              'isClk' => 1,
8890
              'is_floating_block' => 1,
8891
              'period' => 1.0,
8892
              'type' => 'logic',
8893
            },
8894
            'direction' => 'in',
8895
            'hdlType' => 'std_logic',
8896
            'width' => 1,
8897
          },
8898
          'clr' => {
8899
            'attributes' => {
8900
              'domain' => '',
8901
              'group' => 1,
8902
              'isClr' => 1,
8903
              'is_floating_block' => 1,
8904
              'period' => 1,
8905
              'type' => 'logic',
8906
              'valid_bit_used' => 0,
8907
            },
8908
            'direction' => 'in',
8909
            'hdlType' => 'std_logic',
8910
            'width' => 1,
8911
          },
8912
          'data_in' => {
8913
            'attributes' => {
8914
              'bin_pt' => 0,
8915
              'is_floating_block' => 1,
8916
              'must_be_hdl_vector' => 1,
8917
              'period' => 1.0,
8918
              'port_id' => '0',
8919
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/data_in',
8920
              'type' => 'Bool',
8921
            },
8922
            'direction' => 'in',
8923
            'hdlType' => 'std_logic_vector(0 downto 0)',
8924
            'width' => 1,
8925
          },
8926
          'dout' => {
8927
            'attributes' => {
8928
              'bin_pt' => 0,
8929
              'is_floating_block' => 1,
8930
              'must_be_hdl_vector' => 1,
8931
              'period' => 1.0,
8932
              'port_id' => '0',
8933
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/dout',
8934
              'type' => 'Bool',
8935
            },
8936
            'direction' => 'out',
8937
            'hdlType' => 'std_logic_vector(0 downto 0)',
8938
            'width' => 1,
8939
          },
8940
          'en' => {
8941
            'attributes' => {
8942
              'bin_pt' => 0,
8943
              'is_floating_block' => 1,
8944
              'must_be_hdl_vector' => 1,
8945
              'period' => 1.0,
8946
              'port_id' => '1',
8947
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/en',
8948
              'type' => 'Bool',
8949
            },
8950
            'direction' => 'in',
8951
            'hdlType' => 'std_logic_vector(0 downto 0)',
8952
            'width' => 1,
8953
          },
8954
        },
8955
      },
8956
      'entityName' => 'x_x12',
8957
    },
8958
    'to_register22' => {
8959
      'connections' => {
8960
        'ce' => 'ce_1_sg',
8961
        'clk' => 'clk_1_sg',
8962
        'clr' => [
8963
          'constant',
8964
          '\'0\'',
8965
        ],
8966
        'data_in' => 'reg09_td_net_x0',
8967
        'dout' => 'to_register22_dout_net',
8968
        'en' => 'constant1_op_net_x1',
8969
      },
8970
      'entity' => {
8971
        'attributes' => {
8972
          'generics' => [],
8973
          'is_floating_block' => 1,
8974
          'mask' => {
8975
            'Block_Handle' => 52.0009765625,
8976
            'Block_handle' => 52.0009765625,
8977
            'MDL_Handle' => 3.0009765625,
8978
            'MDL_handle' => 3.0009765625,
8979
            'arith_type' => 1,
8980
            'bin_pt' => 14,
8981
            'block_config' => 'sysgen_blockset:toreg_config',
8982
            'block_handle' => 52.0009765625,
8983
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
8984
            'block_type' => 'toreg',
8985
            'dbl_ovrd' => 0,
8986
            'explicit_data_type' => 0,
8987
            'init' => 0.0,
8988
            'init_bit_vector' => '00000000000000000000000000000000b',
8989
            'mdl_handle' => 3.0009765625,
8990
            'model_handle' => 3.0009765625,
8991
            'n_bits' => 16,
8992
            'ownership' => 1,
8993
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8994
            'shared_memory_name' => 'register09td',
8995
          },
8996
          'needs_vhdl_wrapper' => 0,
8997
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
8998
        },
8999
        'entityName' => 'x_x13',
9000
        'ports' => {
9001
          'ce' => {
9002
            'attributes' => {
9003
              'domain' => '',
9004
              'group' => 1,
9005
              'isCe' => 1,
9006
              'is_floating_block' => 1,
9007
              'period' => 1.0,
9008
              'type' => 'logic',
9009
            },
9010
            'direction' => 'in',
9011
            'hdlType' => 'std_logic',
9012
            'width' => 1,
9013
          },
9014
          'clk' => {
9015
            'attributes' => {
9016
              'domain' => '',
9017
              'group' => 1,
9018
              'isClk' => 1,
9019
              'is_floating_block' => 1,
9020
              'period' => 1.0,
9021
              'type' => 'logic',
9022
            },
9023
            'direction' => 'in',
9024
            'hdlType' => 'std_logic',
9025
            'width' => 1,
9026
          },
9027
          'clr' => {
9028
            'attributes' => {
9029
              'domain' => '',
9030
              'group' => 1,
9031
              'isClr' => 1,
9032
              'is_floating_block' => 1,
9033
              'period' => 1,
9034
              'type' => 'logic',
9035
              'valid_bit_used' => 0,
9036
            },
9037
            'direction' => 'in',
9038
            'hdlType' => 'std_logic',
9039
            'width' => 1,
9040
          },
9041
          'data_in' => {
9042
            'attributes' => {
9043
              'bin_pt' => 0,
9044
              'is_floating_block' => 1,
9045
              'must_be_hdl_vector' => 1,
9046
              'period' => 1.0,
9047
              'port_id' => '0',
9048
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/data_in',
9049
              'type' => 'UFix_32_0',
9050
            },
9051
            'direction' => 'in',
9052
            'hdlType' => 'std_logic_vector(31 downto 0)',
9053
            'width' => 32,
9054
          },
9055
          'dout' => {
9056
            'attributes' => {
9057
              'bin_pt' => 0,
9058
              'is_floating_block' => 1,
9059
              'must_be_hdl_vector' => 1,
9060
              'period' => 1.0,
9061
              'port_id' => '0',
9062
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/dout',
9063
              'type' => 'UFix_32_0',
9064
            },
9065
            'direction' => 'out',
9066
            'hdlType' => 'std_logic_vector(31 downto 0)',
9067
            'width' => 32,
9068
          },
9069
          'en' => {
9070
            'attributes' => {
9071
              'bin_pt' => 0,
9072
              'is_floating_block' => 1,
9073
              'must_be_hdl_vector' => 1,
9074
              'period' => 1.0,
9075
              'port_id' => '1',
9076
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/en',
9077
              'type' => 'Bool',
9078
            },
9079
            'direction' => 'in',
9080
            'hdlType' => 'std_logic_vector(0 downto 0)',
9081
            'width' => 1,
9082
          },
9083
        },
9084
      },
9085
      'entityName' => 'x_x13',
9086
    },
9087
    'to_register23' => {
9088
      'connections' => {
9089
        'ce' => 'ce_1_sg',
9090
        'clk' => 'clk_1_sg',
9091
        'clr' => [
9092
          'constant',
9093
          '\'0\'',
9094
        ],
9095
        'data_in' => 'reg10_tv_net_x0',
9096
        'dout' => 'to_register23_dout_net',
9097
        'en' => 'constant1_op_net_x2',
9098
      },
9099
      'entity' => {
9100
        'attributes' => {
9101
          'generics' => [],
9102
          'is_floating_block' => 1,
9103
          'mask' => {
9104
            'Block_Handle' => 53.0009765625,
9105
            'Block_handle' => 53.0009765625,
9106
            'MDL_Handle' => 3.0009765625,
9107
            'MDL_handle' => 3.0009765625,
9108
            'arith_type' => 1,
9109
            'bin_pt' => 14,
9110
            'block_config' => 'sysgen_blockset:toreg_config',
9111
            'block_handle' => 53.0009765625,
9112
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9113
            'block_type' => 'toreg',
9114
            'dbl_ovrd' => 0,
9115
            'explicit_data_type' => 0,
9116
            'init' => 0.0,
9117
            'init_bit_vector' => '0b',
9118
            'mdl_handle' => 3.0009765625,
9119
            'model_handle' => 3.0009765625,
9120
            'n_bits' => 16,
9121
            'ownership' => 1,
9122
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9123
            'shared_memory_name' => 'register10tv',
9124
          },
9125
          'needs_vhdl_wrapper' => 0,
9126
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9127
        },
9128
        'entityName' => 'x_x14',
9129
        'ports' => {
9130
          'ce' => {
9131
            'attributes' => {
9132
              'domain' => '',
9133
              'group' => 1,
9134
              'isCe' => 1,
9135
              'is_floating_block' => 1,
9136
              'period' => 1.0,
9137
              'type' => 'logic',
9138
            },
9139
            'direction' => 'in',
9140
            'hdlType' => 'std_logic',
9141
            'width' => 1,
9142
          },
9143
          'clk' => {
9144
            'attributes' => {
9145
              'domain' => '',
9146
              'group' => 1,
9147
              'isClk' => 1,
9148
              'is_floating_block' => 1,
9149
              'period' => 1.0,
9150
              'type' => 'logic',
9151
            },
9152
            'direction' => 'in',
9153
            'hdlType' => 'std_logic',
9154
            'width' => 1,
9155
          },
9156
          'clr' => {
9157
            'attributes' => {
9158
              'domain' => '',
9159
              'group' => 1,
9160
              'isClr' => 1,
9161
              'is_floating_block' => 1,
9162
              'period' => 1,
9163
              'type' => 'logic',
9164
              'valid_bit_used' => 0,
9165
            },
9166
            'direction' => 'in',
9167
            'hdlType' => 'std_logic',
9168
            'width' => 1,
9169
          },
9170
          'data_in' => {
9171
            'attributes' => {
9172
              'bin_pt' => 0,
9173
              'is_floating_block' => 1,
9174
              'must_be_hdl_vector' => 1,
9175
              'period' => 1.0,
9176
              'port_id' => '0',
9177
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/data_in',
9178
              'type' => 'Bool',
9179
            },
9180
            'direction' => 'in',
9181
            'hdlType' => 'std_logic_vector(0 downto 0)',
9182
            'width' => 1,
9183
          },
9184
          'dout' => {
9185
            'attributes' => {
9186
              'bin_pt' => 0,
9187
              'is_floating_block' => 1,
9188
              'must_be_hdl_vector' => 1,
9189
              'period' => 1.0,
9190
              'port_id' => '0',
9191
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/dout',
9192
              'type' => 'Bool',
9193
            },
9194
            'direction' => 'out',
9195
            'hdlType' => 'std_logic_vector(0 downto 0)',
9196
            'width' => 1,
9197
          },
9198
          'en' => {
9199
            'attributes' => {
9200
              'bin_pt' => 0,
9201
              'is_floating_block' => 1,
9202
              'must_be_hdl_vector' => 1,
9203
              'period' => 1.0,
9204
              'port_id' => '1',
9205
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/en',
9206
              'type' => 'Bool',
9207
            },
9208
            'direction' => 'in',
9209
            'hdlType' => 'std_logic_vector(0 downto 0)',
9210
            'width' => 1,
9211
          },
9212
        },
9213
      },
9214
      'entityName' => 'x_x14',
9215
    },
9216
    'to_register24' => {
9217
      'connections' => {
9218
        'ce' => 'ce_1_sg',
9219
        'clk' => 'clk_1_sg',
9220
        'clr' => [
9221
          'constant',
9222
          '\'0\'',
9223
        ],
9224
        'data_in' => 'reg10_td_net_x0',
9225
        'dout' => 'to_register24_dout_net',
9226
        'en' => 'constant1_op_net_x3',
9227
      },
9228
      'entity' => {
9229
        'attributes' => {
9230
          'generics' => [],
9231
          'is_floating_block' => 1,
9232
          'mask' => {
9233
            'Block_Handle' => 54.0009765625,
9234
            'Block_handle' => 54.0009765625,
9235
            'MDL_Handle' => 3.0009765625,
9236
            'MDL_handle' => 3.0009765625,
9237
            'arith_type' => 1,
9238
            'bin_pt' => 14,
9239
            'block_config' => 'sysgen_blockset:toreg_config',
9240
            'block_handle' => 54.0009765625,
9241
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9242
            'block_type' => 'toreg',
9243
            'dbl_ovrd' => 0,
9244
            'explicit_data_type' => 0,
9245
            'init' => 0.0,
9246
            'init_bit_vector' => '00000000000000000000000000000000b',
9247
            'mdl_handle' => 3.0009765625,
9248
            'model_handle' => 3.0009765625,
9249
            'n_bits' => 16,
9250
            'ownership' => 1,
9251
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9252
            'shared_memory_name' => 'register10td',
9253
          },
9254
          'needs_vhdl_wrapper' => 0,
9255
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9256
        },
9257
        'entityName' => 'x_x15',
9258
        'ports' => {
9259
          'ce' => {
9260
            'attributes' => {
9261
              'domain' => '',
9262
              'group' => 1,
9263
              'isCe' => 1,
9264
              'is_floating_block' => 1,
9265
              'period' => 1.0,
9266
              'type' => 'logic',
9267
            },
9268
            'direction' => 'in',
9269
            'hdlType' => 'std_logic',
9270
            'width' => 1,
9271
          },
9272
          'clk' => {
9273
            'attributes' => {
9274
              'domain' => '',
9275
              'group' => 1,
9276
              'isClk' => 1,
9277
              'is_floating_block' => 1,
9278
              'period' => 1.0,
9279
              'type' => 'logic',
9280
            },
9281
            'direction' => 'in',
9282
            'hdlType' => 'std_logic',
9283
            'width' => 1,
9284
          },
9285
          'clr' => {
9286
            'attributes' => {
9287
              'domain' => '',
9288
              'group' => 1,
9289
              'isClr' => 1,
9290
              'is_floating_block' => 1,
9291
              'period' => 1,
9292
              'type' => 'logic',
9293
              'valid_bit_used' => 0,
9294
            },
9295
            'direction' => 'in',
9296
            'hdlType' => 'std_logic',
9297
            'width' => 1,
9298
          },
9299
          'data_in' => {
9300
            'attributes' => {
9301
              'bin_pt' => 0,
9302
              'is_floating_block' => 1,
9303
              'must_be_hdl_vector' => 1,
9304
              'period' => 1.0,
9305
              'port_id' => '0',
9306
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/data_in',
9307
              'type' => 'UFix_32_0',
9308
            },
9309
            'direction' => 'in',
9310
            'hdlType' => 'std_logic_vector(31 downto 0)',
9311
            'width' => 32,
9312
          },
9313
          'dout' => {
9314
            'attributes' => {
9315
              'bin_pt' => 0,
9316
              'is_floating_block' => 1,
9317
              'must_be_hdl_vector' => 1,
9318
              'period' => 1.0,
9319
              'port_id' => '0',
9320
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/dout',
9321
              'type' => 'UFix_32_0',
9322
            },
9323
            'direction' => 'out',
9324
            'hdlType' => 'std_logic_vector(31 downto 0)',
9325
            'width' => 32,
9326
          },
9327
          'en' => {
9328
            'attributes' => {
9329
              'bin_pt' => 0,
9330
              'is_floating_block' => 1,
9331
              'must_be_hdl_vector' => 1,
9332
              'period' => 1.0,
9333
              'port_id' => '1',
9334
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/en',
9335
              'type' => 'Bool',
9336
            },
9337
            'direction' => 'in',
9338
            'hdlType' => 'std_logic_vector(0 downto 0)',
9339
            'width' => 1,
9340
          },
9341
        },
9342
      },
9343
      'entityName' => 'x_x15',
9344
    },
9345
    'to_register25' => {
9346
      'connections' => {
9347
        'ce' => 'ce_1_sg',
9348
        'clk' => 'clk_1_sg',
9349
        'clr' => [
9350
          'constant',
9351
          '\'0\'',
9352
        ],
9353
        'data_in' => 'reg08_tv_net_x0',
9354
        'dout' => 'to_register25_dout_net',
9355
        'en' => 'constant1_op_net_x4',
9356
      },
9357
      'entity' => {
9358
        'attributes' => {
9359
          'generics' => [],
9360
          'is_floating_block' => 1,
9361
          'mask' => {
9362
            'Block_Handle' => 55.0009765625,
9363
            'Block_handle' => 55.0009765625,
9364
            'MDL_Handle' => 3.0009765625,
9365
            'MDL_handle' => 3.0009765625,
9366
            'arith_type' => 1,
9367
            'bin_pt' => 14,
9368
            'block_config' => 'sysgen_blockset:toreg_config',
9369
            'block_handle' => 55.0009765625,
9370
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9371
            'block_type' => 'toreg',
9372
            'dbl_ovrd' => 0,
9373
            'explicit_data_type' => 0,
9374
            'init' => 0.0,
9375
            'init_bit_vector' => '0b',
9376
            'mdl_handle' => 3.0009765625,
9377
            'model_handle' => 3.0009765625,
9378
            'n_bits' => 16,
9379
            'ownership' => 1,
9380
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9381
            'shared_memory_name' => 'register08tv',
9382
          },
9383
          'needs_vhdl_wrapper' => 0,
9384
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9385
        },
9386
        'entityName' => 'x_x16',
9387
        'ports' => {
9388
          'ce' => {
9389
            'attributes' => {
9390
              'domain' => '',
9391
              'group' => 1,
9392
              'isCe' => 1,
9393
              'is_floating_block' => 1,
9394
              'period' => 1.0,
9395
              'type' => 'logic',
9396
            },
9397
            'direction' => 'in',
9398
            'hdlType' => 'std_logic',
9399
            'width' => 1,
9400
          },
9401
          'clk' => {
9402
            'attributes' => {
9403
              'domain' => '',
9404
              'group' => 1,
9405
              'isClk' => 1,
9406
              'is_floating_block' => 1,
9407
              'period' => 1.0,
9408
              'type' => 'logic',
9409
            },
9410
            'direction' => 'in',
9411
            'hdlType' => 'std_logic',
9412
            'width' => 1,
9413
          },
9414
          'clr' => {
9415
            'attributes' => {
9416
              'domain' => '',
9417
              'group' => 1,
9418
              'isClr' => 1,
9419
              'is_floating_block' => 1,
9420
              'period' => 1,
9421
              'type' => 'logic',
9422
              'valid_bit_used' => 0,
9423
            },
9424
            'direction' => 'in',
9425
            'hdlType' => 'std_logic',
9426
            'width' => 1,
9427
          },
9428
          'data_in' => {
9429
            'attributes' => {
9430
              'bin_pt' => 0,
9431
              'is_floating_block' => 1,
9432
              'must_be_hdl_vector' => 1,
9433
              'period' => 1.0,
9434
              'port_id' => '0',
9435
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/data_in',
9436
              'type' => 'Bool',
9437
            },
9438
            'direction' => 'in',
9439
            'hdlType' => 'std_logic_vector(0 downto 0)',
9440
            'width' => 1,
9441
          },
9442
          'dout' => {
9443
            'attributes' => {
9444
              'bin_pt' => 0,
9445
              'is_floating_block' => 1,
9446
              'must_be_hdl_vector' => 1,
9447
              'period' => 1.0,
9448
              'port_id' => '0',
9449
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/dout',
9450
              'type' => 'Bool',
9451
            },
9452
            'direction' => 'out',
9453
            'hdlType' => 'std_logic_vector(0 downto 0)',
9454
            'width' => 1,
9455
          },
9456
          'en' => {
9457
            'attributes' => {
9458
              'bin_pt' => 0,
9459
              'is_floating_block' => 1,
9460
              'must_be_hdl_vector' => 1,
9461
              'period' => 1.0,
9462
              'port_id' => '1',
9463
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/en',
9464
              'type' => 'Bool',
9465
            },
9466
            'direction' => 'in',
9467
            'hdlType' => 'std_logic_vector(0 downto 0)',
9468
            'width' => 1,
9469
          },
9470
        },
9471
      },
9472
      'entityName' => 'x_x16',
9473
    },
9474
    'to_register26' => {
9475
      'connections' => {
9476
        'ce' => 'ce_1_sg',
9477
        'clk' => 'clk_1_sg',
9478
        'clr' => [
9479
          'constant',
9480
          '\'0\'',
9481
        ],
9482
        'data_in' => 'reg08_td_net_x0',
9483
        'dout' => 'to_register26_dout_net',
9484
        'en' => 'constant1_op_net_x5',
9485
      },
9486
      'entity' => {
9487
        'attributes' => {
9488
          'generics' => [],
9489
          'is_floating_block' => 1,
9490
          'mask' => {
9491
            'Block_Handle' => 56.0009765625,
9492
            'Block_handle' => 56.0009765625,
9493
            'MDL_Handle' => 3.0009765625,
9494
            'MDL_handle' => 3.0009765625,
9495
            'arith_type' => 1,
9496
            'bin_pt' => 14,
9497
            'block_config' => 'sysgen_blockset:toreg_config',
9498
            'block_handle' => 56.0009765625,
9499
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9500
            'block_type' => 'toreg',
9501
            'dbl_ovrd' => 0,
9502
            'explicit_data_type' => 0,
9503
            'init' => 0.0,
9504
            'init_bit_vector' => '00000000000000000000000000000000b',
9505
            'mdl_handle' => 3.0009765625,
9506
            'model_handle' => 3.0009765625,
9507
            'n_bits' => 16,
9508
            'ownership' => 1,
9509
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9510
            'shared_memory_name' => 'register08td',
9511
          },
9512
          'needs_vhdl_wrapper' => 0,
9513
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9514
        },
9515
        'entityName' => 'x_x17',
9516
        'ports' => {
9517
          'ce' => {
9518
            'attributes' => {
9519
              'domain' => '',
9520
              'group' => 1,
9521
              'isCe' => 1,
9522
              'is_floating_block' => 1,
9523
              'period' => 1.0,
9524
              'type' => 'logic',
9525
            },
9526
            'direction' => 'in',
9527
            'hdlType' => 'std_logic',
9528
            'width' => 1,
9529
          },
9530
          'clk' => {
9531
            'attributes' => {
9532
              'domain' => '',
9533
              'group' => 1,
9534
              'isClk' => 1,
9535
              'is_floating_block' => 1,
9536
              'period' => 1.0,
9537
              'type' => 'logic',
9538
            },
9539
            'direction' => 'in',
9540
            'hdlType' => 'std_logic',
9541
            'width' => 1,
9542
          },
9543
          'clr' => {
9544
            'attributes' => {
9545
              'domain' => '',
9546
              'group' => 1,
9547
              'isClr' => 1,
9548
              'is_floating_block' => 1,
9549
              'period' => 1,
9550
              'type' => 'logic',
9551
              'valid_bit_used' => 0,
9552
            },
9553
            'direction' => 'in',
9554
            'hdlType' => 'std_logic',
9555
            'width' => 1,
9556
          },
9557
          'data_in' => {
9558
            'attributes' => {
9559
              'bin_pt' => 0,
9560
              'is_floating_block' => 1,
9561
              'must_be_hdl_vector' => 1,
9562
              'period' => 1.0,
9563
              'port_id' => '0',
9564
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/data_in',
9565
              'type' => 'UFix_32_0',
9566
            },
9567
            'direction' => 'in',
9568
            'hdlType' => 'std_logic_vector(31 downto 0)',
9569
            'width' => 32,
9570
          },
9571
          'dout' => {
9572
            'attributes' => {
9573
              'bin_pt' => 0,
9574
              'is_floating_block' => 1,
9575
              'must_be_hdl_vector' => 1,
9576
              'period' => 1.0,
9577
              'port_id' => '0',
9578
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/dout',
9579
              'type' => 'UFix_32_0',
9580
            },
9581
            'direction' => 'out',
9582
            'hdlType' => 'std_logic_vector(31 downto 0)',
9583
            'width' => 32,
9584
          },
9585
          'en' => {
9586
            'attributes' => {
9587
              'bin_pt' => 0,
9588
              'is_floating_block' => 1,
9589
              'must_be_hdl_vector' => 1,
9590
              'period' => 1.0,
9591
              'port_id' => '1',
9592
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/en',
9593
              'type' => 'Bool',
9594
            },
9595
            'direction' => 'in',
9596
            'hdlType' => 'std_logic_vector(0 downto 0)',
9597
            'width' => 1,
9598
          },
9599
        },
9600
      },
9601
      'entityName' => 'x_x17',
9602
    },
9603
    'to_register27' => {
9604
      'connections' => {
9605
        'ce' => 'ce_1_sg',
9606
        'clk' => 'clk_1_sg',
9607
        'clr' => [
9608
          'constant',
9609
          '\'0\'',
9610
        ],
9611
        'data_in' => 'reg11_tv_net_x0',
9612
        'dout' => 'to_register27_dout_net',
9613
        'en' => 'constant1_op_net_x6',
9614
      },
9615
      'entity' => {
9616
        'attributes' => {
9617
          'generics' => [],
9618
          'is_floating_block' => 1,
9619
          'mask' => {
9620
            'Block_Handle' => 57.0009765625,
9621
            'Block_handle' => 57.0009765625,
9622
            'MDL_Handle' => 3.0009765625,
9623
            'MDL_handle' => 3.0009765625,
9624
            'arith_type' => 1,
9625
            'bin_pt' => 14,
9626
            'block_config' => 'sysgen_blockset:toreg_config',
9627
            'block_handle' => 57.0009765625,
9628
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9629
            'block_type' => 'toreg',
9630
            'dbl_ovrd' => 0,
9631
            'explicit_data_type' => 0,
9632
            'init' => 0.0,
9633
            'init_bit_vector' => '0b',
9634
            'mdl_handle' => 3.0009765625,
9635
            'model_handle' => 3.0009765625,
9636
            'n_bits' => 16,
9637
            'ownership' => 1,
9638
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9639
            'shared_memory_name' => 'register11tv',
9640
          },
9641
          'needs_vhdl_wrapper' => 0,
9642
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9643
        },
9644
        'entityName' => 'x_x18',
9645
        'ports' => {
9646
          'ce' => {
9647
            'attributes' => {
9648
              'domain' => '',
9649
              'group' => 1,
9650
              'isCe' => 1,
9651
              'is_floating_block' => 1,
9652
              'period' => 1.0,
9653
              'type' => 'logic',
9654
            },
9655
            'direction' => 'in',
9656
            'hdlType' => 'std_logic',
9657
            'width' => 1,
9658
          },
9659
          'clk' => {
9660
            'attributes' => {
9661
              'domain' => '',
9662
              'group' => 1,
9663
              'isClk' => 1,
9664
              'is_floating_block' => 1,
9665
              'period' => 1.0,
9666
              'type' => 'logic',
9667
            },
9668
            'direction' => 'in',
9669
            'hdlType' => 'std_logic',
9670
            'width' => 1,
9671
          },
9672
          'clr' => {
9673
            'attributes' => {
9674
              'domain' => '',
9675
              'group' => 1,
9676
              'isClr' => 1,
9677
              'is_floating_block' => 1,
9678
              'period' => 1,
9679
              'type' => 'logic',
9680
              'valid_bit_used' => 0,
9681
            },
9682
            'direction' => 'in',
9683
            'hdlType' => 'std_logic',
9684
            'width' => 1,
9685
          },
9686
          'data_in' => {
9687
            'attributes' => {
9688
              'bin_pt' => 0,
9689
              'is_floating_block' => 1,
9690
              'must_be_hdl_vector' => 1,
9691
              'period' => 1.0,
9692
              'port_id' => '0',
9693
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/data_in',
9694
              'type' => 'Bool',
9695
            },
9696
            'direction' => 'in',
9697
            'hdlType' => 'std_logic_vector(0 downto 0)',
9698
            'width' => 1,
9699
          },
9700
          'dout' => {
9701
            'attributes' => {
9702
              'bin_pt' => 0,
9703
              'is_floating_block' => 1,
9704
              'must_be_hdl_vector' => 1,
9705
              'period' => 1.0,
9706
              'port_id' => '0',
9707
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/dout',
9708
              'type' => 'Bool',
9709
            },
9710
            'direction' => 'out',
9711
            'hdlType' => 'std_logic_vector(0 downto 0)',
9712
            'width' => 1,
9713
          },
9714
          'en' => {
9715
            'attributes' => {
9716
              'bin_pt' => 0,
9717
              'is_floating_block' => 1,
9718
              'must_be_hdl_vector' => 1,
9719
              'period' => 1.0,
9720
              'port_id' => '1',
9721
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/en',
9722
              'type' => 'Bool',
9723
            },
9724
            'direction' => 'in',
9725
            'hdlType' => 'std_logic_vector(0 downto 0)',
9726
            'width' => 1,
9727
          },
9728
        },
9729
      },
9730
      'entityName' => 'x_x18',
9731
    },
9732
    'to_register28' => {
9733
      'connections' => {
9734
        'ce' => 'ce_1_sg',
9735
        'clk' => 'clk_1_sg',
9736
        'clr' => [
9737
          'constant',
9738
          '\'0\'',
9739
        ],
9740
        'data_in' => 'reg11_td_net_x0',
9741
        'dout' => 'to_register28_dout_net',
9742
        'en' => 'constant1_op_net_x7',
9743
      },
9744
      'entity' => {
9745
        'attributes' => {
9746
          'generics' => [],
9747
          'is_floating_block' => 1,
9748
          'mask' => {
9749
            'Block_Handle' => 58.0009765625,
9750
            'Block_handle' => 58.0009765625,
9751
            'MDL_Handle' => 3.0009765625,
9752
            'MDL_handle' => 3.0009765625,
9753
            'arith_type' => 1,
9754
            'bin_pt' => 14,
9755
            'block_config' => 'sysgen_blockset:toreg_config',
9756
            'block_handle' => 58.0009765625,
9757
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
9758
            'block_type' => 'toreg',
9759
            'dbl_ovrd' => 0,
9760
            'explicit_data_type' => 0,
9761
            'init' => 0.0,
9762
            'init_bit_vector' => '00000000000000000000000000000000b',
9763
            'mdl_handle' => 3.0009765625,
9764
            'model_handle' => 3.0009765625,
9765
            'n_bits' => 16,
9766
            'ownership' => 1,
9767
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9768
            'shared_memory_name' => 'register11td',
9769
          },
9770
          'needs_vhdl_wrapper' => 0,
9771
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
9772
        },
9773
        'entityName' => 'x_x19',
9774
        'ports' => {
9775
          'ce' => {
9776
            'attributes' => {
9777
              'domain' => '',
9778
              'group' => 1,
9779
              'isCe' => 1,
9780
              'is_floating_block' => 1,
9781
              'period' => 1.0,
9782
              'type' => 'logic',
9783
            },
9784
            'direction' => 'in',
9785
            'hdlType' => 'std_logic',
9786
            'width' => 1,
9787
          },
9788
          'clk' => {
9789
            'attributes' => {
9790
              'domain' => '',
9791
              'group' => 1,
9792
              'isClk' => 1,
9793
              'is_floating_block' => 1,
9794
              'period' => 1.0,
9795
              'type' => 'logic',
9796
            },
9797
            'direction' => 'in',
9798
            'hdlType' => 'std_logic',
9799
            'width' => 1,
9800
          },
9801
          'clr' => {
9802
            'attributes' => {
9803
              'domain' => '',
9804
              'group' => 1,
9805
              'isClr' => 1,
9806
              'is_floating_block' => 1,
9807
              'period' => 1,
9808
              'type' => 'logic',
9809
              'valid_bit_used' => 0,
9810
            },
9811
            'direction' => 'in',
9812
            'hdlType' => 'std_logic',
9813
            'width' => 1,
9814
          },
9815
          'data_in' => {
9816
            'attributes' => {
9817
              'bin_pt' => 0,
9818
              'is_floating_block' => 1,
9819
              'must_be_hdl_vector' => 1,
9820
              'period' => 1.0,
9821
              'port_id' => '0',
9822
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/data_in',
9823
              'type' => 'UFix_32_0',
9824
            },
9825
            'direction' => 'in',
9826
            'hdlType' => 'std_logic_vector(31 downto 0)',
9827
            'width' => 32,
9828
          },
9829
          'dout' => {
9830
            'attributes' => {
9831
              'bin_pt' => 0,
9832
              'is_floating_block' => 1,
9833
              'must_be_hdl_vector' => 1,
9834
              'period' => 1.0,
9835
              'port_id' => '0',
9836
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/dout',
9837
              'type' => 'UFix_32_0',
9838
            },
9839
            'direction' => 'out',
9840
            'hdlType' => 'std_logic_vector(31 downto 0)',
9841
            'width' => 32,
9842
          },
9843
          'en' => {
9844
            'attributes' => {
9845
              'bin_pt' => 0,
9846
              'is_floating_block' => 1,
9847
              'must_be_hdl_vector' => 1,
9848
              'period' => 1.0,
9849
              'port_id' => '1',
9850
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/en',
9851
              'type' => 'Bool',
9852
            },
9853
            'direction' => 'in',
9854
            'hdlType' => 'std_logic_vector(0 downto 0)',
9855
            'width' => 1,
9856
          },
9857
        },
9858
      },
9859
      'entityName' => 'x_x19',
9860
    },
9861
    'to_register29' => {
9862
      'connections' => {
9863
        'ce' => 'ce_1_sg',
9864
        'clk' => 'clk_1_sg',
9865
        'clr' => [
9866
          'constant',
9867
          '\'0\'',
9868
        ],
9869
        'data_in' => 'reg12_tv_net_x0',
9870
        'dout' => 'to_register29_dout_net',
9871
        'en' => 'constant1_op_net_x8',
9872
      },
9873
      'entity' => {
9874
        'attributes' => {
9875
          'generics' => [],
9876
          'is_floating_block' => 1,
9877
          'mask' => {
9878
            'Block_Handle' => 59.0009765625,
9879
            'Block_handle' => 59.0009765625,
9880
            'MDL_Handle' => 3.0009765625,
9881
            'MDL_handle' => 3.0009765625,
9882
            'arith_type' => 1,
9883
            'bin_pt' => 14,
9884
            'block_config' => 'sysgen_blockset:toreg_config',
9885
            'block_handle' => 59.0009765625,
9886
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
9887
            'block_type' => 'toreg',
9888
            'dbl_ovrd' => 0,
9889
            'explicit_data_type' => 0,
9890
            'init' => 0.0,
9891
            'init_bit_vector' => '0b',
9892
            'mdl_handle' => 3.0009765625,
9893
            'model_handle' => 3.0009765625,
9894
            'n_bits' => 16,
9895
            'ownership' => 1,
9896
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9897
            'shared_memory_name' => 'register12tv',
9898
          },
9899
          'needs_vhdl_wrapper' => 0,
9900
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
9901
        },
9902
        'entityName' => 'x_x20',
9903
        'ports' => {
9904
          'ce' => {
9905
            'attributes' => {
9906
              'domain' => '',
9907
              'group' => 1,
9908
              'isCe' => 1,
9909
              'is_floating_block' => 1,
9910
              'period' => 1.0,
9911
              'type' => 'logic',
9912
            },
9913
            'direction' => 'in',
9914
            'hdlType' => 'std_logic',
9915
            'width' => 1,
9916
          },
9917
          'clk' => {
9918
            'attributes' => {
9919
              'domain' => '',
9920
              'group' => 1,
9921
              'isClk' => 1,
9922
              'is_floating_block' => 1,
9923
              'period' => 1.0,
9924
              'type' => 'logic',
9925
            },
9926
            'direction' => 'in',
9927
            'hdlType' => 'std_logic',
9928
            'width' => 1,
9929
          },
9930
          'clr' => {
9931
            'attributes' => {
9932
              'domain' => '',
9933
              'group' => 1,
9934
              'isClr' => 1,
9935
              'is_floating_block' => 1,
9936
              'period' => 1,
9937
              'type' => 'logic',
9938
              'valid_bit_used' => 0,
9939
            },
9940
            'direction' => 'in',
9941
            'hdlType' => 'std_logic',
9942
            'width' => 1,
9943
          },
9944
          'data_in' => {
9945
            'attributes' => {
9946
              'bin_pt' => 0,
9947
              'is_floating_block' => 1,
9948
              'must_be_hdl_vector' => 1,
9949
              'period' => 1.0,
9950
              'port_id' => '0',
9951
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/data_in',
9952
              'type' => 'Bool',
9953
            },
9954
            'direction' => 'in',
9955
            'hdlType' => 'std_logic_vector(0 downto 0)',
9956
            'width' => 1,
9957
          },
9958
          'dout' => {
9959
            'attributes' => {
9960
              'bin_pt' => 0,
9961
              'is_floating_block' => 1,
9962
              'must_be_hdl_vector' => 1,
9963
              'period' => 1.0,
9964
              'port_id' => '0',
9965
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/dout',
9966
              'type' => 'Bool',
9967
            },
9968
            'direction' => 'out',
9969
            'hdlType' => 'std_logic_vector(0 downto 0)',
9970
            'width' => 1,
9971
          },
9972
          'en' => {
9973
            'attributes' => {
9974
              'bin_pt' => 0,
9975
              'is_floating_block' => 1,
9976
              'must_be_hdl_vector' => 1,
9977
              'period' => 1.0,
9978
              'port_id' => '1',
9979
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/en',
9980
              'type' => 'Bool',
9981
            },
9982
            'direction' => 'in',
9983
            'hdlType' => 'std_logic_vector(0 downto 0)',
9984
            'width' => 1,
9985
          },
9986
        },
9987
      },
9988
      'entityName' => 'x_x20',
9989
    },
9990
    'to_register3' => {
9991
      'connections' => {
9992
        'ce' => 'ce_1_sg',
9993
        'clk' => 'clk_1_sg',
9994
        'clr' => [
9995
          'constant',
9996
          '\'0\'',
9997
        ],
9998
        'data_in' => 'reg01_tv_net_x0',
9999
        'dout' => 'to_register3_dout_net',
10000
        'en' => 'constant5_op_net_x13',
10001
      },
10002
      'entity' => {
10003
        'attributes' => {
10004
          'generics' => [],
10005
          'is_floating_block' => 1,
10006
          'mask' => {
10007
            'Block_Handle' => 60.0009765625,
10008
            'Block_handle' => 60.0009765625,
10009
            'MDL_Handle' => 3.0009765625,
10010
            'MDL_handle' => 3.0009765625,
10011
            'arith_type' => 1,
10012
            'bin_pt' => 14,
10013
            'block_config' => 'sysgen_blockset:toreg_config',
10014
            'block_handle' => 60.0009765625,
10015
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10016
            'block_type' => 'toreg',
10017
            'dbl_ovrd' => 0,
10018
            'explicit_data_type' => 0,
10019
            'init' => 0.0,
10020
            'init_bit_vector' => '0b',
10021
            'mdl_handle' => 3.0009765625,
10022
            'model_handle' => 3.0009765625,
10023
            'n_bits' => 16,
10024
            'ownership' => 1,
10025
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10026
            'shared_memory_name' => 'register01tv',
10027
          },
10028
          'needs_vhdl_wrapper' => 0,
10029
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10030
        },
10031
        'entityName' => 'x_x21',
10032
        'ports' => {
10033
          'ce' => {
10034
            'attributes' => {
10035
              'domain' => '',
10036
              'group' => 1,
10037
              'isCe' => 1,
10038
              'is_floating_block' => 1,
10039
              'period' => 1.0,
10040
              'type' => 'logic',
10041
            },
10042
            'direction' => 'in',
10043
            'hdlType' => 'std_logic',
10044
            'width' => 1,
10045
          },
10046
          'clk' => {
10047
            'attributes' => {
10048
              'domain' => '',
10049
              'group' => 1,
10050
              'isClk' => 1,
10051
              'is_floating_block' => 1,
10052
              'period' => 1.0,
10053
              'type' => 'logic',
10054
            },
10055
            'direction' => 'in',
10056
            'hdlType' => 'std_logic',
10057
            'width' => 1,
10058
          },
10059
          'clr' => {
10060
            'attributes' => {
10061
              'domain' => '',
10062
              'group' => 1,
10063
              'isClr' => 1,
10064
              'is_floating_block' => 1,
10065
              'period' => 1,
10066
              'type' => 'logic',
10067
              'valid_bit_used' => 0,
10068
            },
10069
            'direction' => 'in',
10070
            'hdlType' => 'std_logic',
10071
            'width' => 1,
10072
          },
10073
          'data_in' => {
10074
            'attributes' => {
10075
              'bin_pt' => 0,
10076
              'is_floating_block' => 1,
10077
              'must_be_hdl_vector' => 1,
10078
              'period' => 1.0,
10079
              'port_id' => '0',
10080
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/data_in',
10081
              'type' => 'Bool',
10082
            },
10083
            'direction' => 'in',
10084
            'hdlType' => 'std_logic_vector(0 downto 0)',
10085
            'width' => 1,
10086
          },
10087
          'dout' => {
10088
            'attributes' => {
10089
              'bin_pt' => 0,
10090
              'is_floating_block' => 1,
10091
              'must_be_hdl_vector' => 1,
10092
              'period' => 1.0,
10093
              'port_id' => '0',
10094
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/dout',
10095
              'type' => 'Bool',
10096
            },
10097
            'direction' => 'out',
10098
            'hdlType' => 'std_logic_vector(0 downto 0)',
10099
            'width' => 1,
10100
          },
10101
          'en' => {
10102
            'attributes' => {
10103
              'bin_pt' => 0,
10104
              'is_floating_block' => 1,
10105
              'must_be_hdl_vector' => 1,
10106
              'period' => 1.0,
10107
              'port_id' => '1',
10108
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/en',
10109
              'type' => 'Bool',
10110
            },
10111
            'direction' => 'in',
10112
            'hdlType' => 'std_logic_vector(0 downto 0)',
10113
            'width' => 1,
10114
          },
10115
        },
10116
      },
10117
      'entityName' => 'x_x21',
10118
    },
10119
    'to_register30' => {
10120
      'connections' => {
10121
        'ce' => 'ce_1_sg',
10122
        'clk' => 'clk_1_sg',
10123
        'clr' => [
10124
          'constant',
10125
          '\'0\'',
10126
        ],
10127
        'data_in' => 'reg12_td_net_x0',
10128
        'dout' => 'to_register30_dout_net',
10129
        'en' => 'constant1_op_net_x9',
10130
      },
10131
      'entity' => {
10132
        'attributes' => {
10133
          'generics' => [],
10134
          'is_floating_block' => 1,
10135
          'mask' => {
10136
            'Block_Handle' => 61.0009765625,
10137
            'Block_handle' => 61.0009765625,
10138
            'MDL_Handle' => 3.0009765625,
10139
            'MDL_handle' => 3.0009765625,
10140
            'arith_type' => 1,
10141
            'bin_pt' => 14,
10142
            'block_config' => 'sysgen_blockset:toreg_config',
10143
            'block_handle' => 61.0009765625,
10144
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10145
            'block_type' => 'toreg',
10146
            'dbl_ovrd' => 0,
10147
            'explicit_data_type' => 0,
10148
            'init' => 0.0,
10149
            'init_bit_vector' => '00000000000000000000000000000000b',
10150
            'mdl_handle' => 3.0009765625,
10151
            'model_handle' => 3.0009765625,
10152
            'n_bits' => 16,
10153
            'ownership' => 1,
10154
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10155
            'shared_memory_name' => 'register12td',
10156
          },
10157
          'needs_vhdl_wrapper' => 0,
10158
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10159
        },
10160
        'entityName' => 'x_x22',
10161
        'ports' => {
10162
          'ce' => {
10163
            'attributes' => {
10164
              'domain' => '',
10165
              'group' => 1,
10166
              'isCe' => 1,
10167
              'is_floating_block' => 1,
10168
              'period' => 1.0,
10169
              'type' => 'logic',
10170
            },
10171
            'direction' => 'in',
10172
            'hdlType' => 'std_logic',
10173
            'width' => 1,
10174
          },
10175
          'clk' => {
10176
            'attributes' => {
10177
              'domain' => '',
10178
              'group' => 1,
10179
              'isClk' => 1,
10180
              'is_floating_block' => 1,
10181
              'period' => 1.0,
10182
              'type' => 'logic',
10183
            },
10184
            'direction' => 'in',
10185
            'hdlType' => 'std_logic',
10186
            'width' => 1,
10187
          },
10188
          'clr' => {
10189
            'attributes' => {
10190
              'domain' => '',
10191
              'group' => 1,
10192
              'isClr' => 1,
10193
              'is_floating_block' => 1,
10194
              'period' => 1,
10195
              'type' => 'logic',
10196
              'valid_bit_used' => 0,
10197
            },
10198
            'direction' => 'in',
10199
            'hdlType' => 'std_logic',
10200
            'width' => 1,
10201
          },
10202
          'data_in' => {
10203
            'attributes' => {
10204
              'bin_pt' => 0,
10205
              'is_floating_block' => 1,
10206
              'must_be_hdl_vector' => 1,
10207
              'period' => 1.0,
10208
              'port_id' => '0',
10209
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/data_in',
10210
              'type' => 'UFix_32_0',
10211
            },
10212
            'direction' => 'in',
10213
            'hdlType' => 'std_logic_vector(31 downto 0)',
10214
            'width' => 32,
10215
          },
10216
          'dout' => {
10217
            'attributes' => {
10218
              'bin_pt' => 0,
10219
              'is_floating_block' => 1,
10220
              'must_be_hdl_vector' => 1,
10221
              'period' => 1.0,
10222
              'port_id' => '0',
10223
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/dout',
10224
              'type' => 'UFix_32_0',
10225
            },
10226
            'direction' => 'out',
10227
            'hdlType' => 'std_logic_vector(31 downto 0)',
10228
            'width' => 32,
10229
          },
10230
          'en' => {
10231
            'attributes' => {
10232
              'bin_pt' => 0,
10233
              'is_floating_block' => 1,
10234
              'must_be_hdl_vector' => 1,
10235
              'period' => 1.0,
10236
              'port_id' => '1',
10237
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/en',
10238
              'type' => 'Bool',
10239
            },
10240
            'direction' => 'in',
10241
            'hdlType' => 'std_logic_vector(0 downto 0)',
10242
            'width' => 1,
10243
          },
10244
        },
10245
      },
10246
      'entityName' => 'x_x22',
10247
    },
10248
    'to_register31' => {
10249
      'connections' => {
10250
        'ce' => 'ce_1_sg',
10251
        'clk' => 'clk_1_sg',
10252
        'clr' => [
10253
          'constant',
10254
          '\'0\'',
10255
        ],
10256
        'data_in' => 'reg13_tv_net_x0',
10257
        'dout' => 'to_register31_dout_net',
10258
        'en' => 'constant1_op_net_x10',
10259
      },
10260
      'entity' => {
10261
        'attributes' => {
10262
          'generics' => [],
10263
          'is_floating_block' => 1,
10264
          'mask' => {
10265
            'Block_Handle' => 62.0009765625,
10266
            'Block_handle' => 62.0009765625,
10267
            'MDL_Handle' => 3.0009765625,
10268
            'MDL_handle' => 3.0009765625,
10269
            'arith_type' => 1,
10270
            'bin_pt' => 14,
10271
            'block_config' => 'sysgen_blockset:toreg_config',
10272
            'block_handle' => 62.0009765625,
10273
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10274
            'block_type' => 'toreg',
10275
            'dbl_ovrd' => 0,
10276
            'explicit_data_type' => 0,
10277
            'init' => 0.0,
10278
            'init_bit_vector' => '0b',
10279
            'mdl_handle' => 3.0009765625,
10280
            'model_handle' => 3.0009765625,
10281
            'n_bits' => 16,
10282
            'ownership' => 1,
10283
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10284
            'shared_memory_name' => 'register13tv',
10285
          },
10286
          'needs_vhdl_wrapper' => 0,
10287
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10288
        },
10289
        'entityName' => 'x_x23',
10290
        'ports' => {
10291
          'ce' => {
10292
            'attributes' => {
10293
              'domain' => '',
10294
              'group' => 1,
10295
              'isCe' => 1,
10296
              'is_floating_block' => 1,
10297
              'period' => 1.0,
10298
              'type' => 'logic',
10299
            },
10300
            'direction' => 'in',
10301
            'hdlType' => 'std_logic',
10302
            'width' => 1,
10303
          },
10304
          'clk' => {
10305
            'attributes' => {
10306
              'domain' => '',
10307
              'group' => 1,
10308
              'isClk' => 1,
10309
              'is_floating_block' => 1,
10310
              'period' => 1.0,
10311
              'type' => 'logic',
10312
            },
10313
            'direction' => 'in',
10314
            'hdlType' => 'std_logic',
10315
            'width' => 1,
10316
          },
10317
          'clr' => {
10318
            'attributes' => {
10319
              'domain' => '',
10320
              'group' => 1,
10321
              'isClr' => 1,
10322
              'is_floating_block' => 1,
10323
              'period' => 1,
10324
              'type' => 'logic',
10325
              'valid_bit_used' => 0,
10326
            },
10327
            'direction' => 'in',
10328
            'hdlType' => 'std_logic',
10329
            'width' => 1,
10330
          },
10331
          'data_in' => {
10332
            'attributes' => {
10333
              'bin_pt' => 0,
10334
              'is_floating_block' => 1,
10335
              'must_be_hdl_vector' => 1,
10336
              'period' => 1.0,
10337
              'port_id' => '0',
10338
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/data_in',
10339
              'type' => 'Bool',
10340
            },
10341
            'direction' => 'in',
10342
            'hdlType' => 'std_logic_vector(0 downto 0)',
10343
            'width' => 1,
10344
          },
10345
          'dout' => {
10346
            'attributes' => {
10347
              'bin_pt' => 0,
10348
              'is_floating_block' => 1,
10349
              'must_be_hdl_vector' => 1,
10350
              'period' => 1.0,
10351
              'port_id' => '0',
10352
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/dout',
10353
              'type' => 'Bool',
10354
            },
10355
            'direction' => 'out',
10356
            'hdlType' => 'std_logic_vector(0 downto 0)',
10357
            'width' => 1,
10358
          },
10359
          'en' => {
10360
            'attributes' => {
10361
              'bin_pt' => 0,
10362
              'is_floating_block' => 1,
10363
              'must_be_hdl_vector' => 1,
10364
              'period' => 1.0,
10365
              'port_id' => '1',
10366
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/en',
10367
              'type' => 'Bool',
10368
            },
10369
            'direction' => 'in',
10370
            'hdlType' => 'std_logic_vector(0 downto 0)',
10371
            'width' => 1,
10372
          },
10373
        },
10374
      },
10375
      'entityName' => 'x_x23',
10376
    },
10377
    'to_register32' => {
10378
      'connections' => {
10379
        'ce' => 'ce_1_sg',
10380
        'clk' => 'clk_1_sg',
10381
        'clr' => [
10382
          'constant',
10383
          '\'0\'',
10384
        ],
10385
        'data_in' => 'reg13_td_net_x0',
10386
        'dout' => 'to_register32_dout_net',
10387
        'en' => 'constant1_op_net_x11',
10388
      },
10389
      'entity' => {
10390
        'attributes' => {
10391
          'generics' => [],
10392
          'is_floating_block' => 1,
10393
          'mask' => {
10394
            'Block_Handle' => 63.0009765625,
10395
            'Block_handle' => 63.0009765625,
10396
            'MDL_Handle' => 3.0009765625,
10397
            'MDL_handle' => 3.0009765625,
10398
            'arith_type' => 1,
10399
            'bin_pt' => 14,
10400
            'block_config' => 'sysgen_blockset:toreg_config',
10401
            'block_handle' => 63.0009765625,
10402
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10403
            'block_type' => 'toreg',
10404
            'dbl_ovrd' => 0,
10405
            'explicit_data_type' => 0,
10406
            'init' => 0.0,
10407
            'init_bit_vector' => '00000000000000000000000000000000b',
10408
            'mdl_handle' => 3.0009765625,
10409
            'model_handle' => 3.0009765625,
10410
            'n_bits' => 16,
10411
            'ownership' => 1,
10412
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10413
            'shared_memory_name' => 'register13td',
10414
          },
10415
          'needs_vhdl_wrapper' => 0,
10416
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10417
        },
10418
        'entityName' => 'x_x24',
10419
        'ports' => {
10420
          'ce' => {
10421
            'attributes' => {
10422
              'domain' => '',
10423
              'group' => 1,
10424
              'isCe' => 1,
10425
              'is_floating_block' => 1,
10426
              'period' => 1.0,
10427
              'type' => 'logic',
10428
            },
10429
            'direction' => 'in',
10430
            'hdlType' => 'std_logic',
10431
            'width' => 1,
10432
          },
10433
          'clk' => {
10434
            'attributes' => {
10435
              'domain' => '',
10436
              'group' => 1,
10437
              'isClk' => 1,
10438
              'is_floating_block' => 1,
10439
              'period' => 1.0,
10440
              'type' => 'logic',
10441
            },
10442
            'direction' => 'in',
10443
            'hdlType' => 'std_logic',
10444
            'width' => 1,
10445
          },
10446
          'clr' => {
10447
            'attributes' => {
10448
              'domain' => '',
10449
              'group' => 1,
10450
              'isClr' => 1,
10451
              'is_floating_block' => 1,
10452
              'period' => 1,
10453
              'type' => 'logic',
10454
              'valid_bit_used' => 0,
10455
            },
10456
            'direction' => 'in',
10457
            'hdlType' => 'std_logic',
10458
            'width' => 1,
10459
          },
10460
          'data_in' => {
10461
            'attributes' => {
10462
              'bin_pt' => 0,
10463
              'is_floating_block' => 1,
10464
              'must_be_hdl_vector' => 1,
10465
              'period' => 1.0,
10466
              'port_id' => '0',
10467
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/data_in',
10468
              'type' => 'UFix_32_0',
10469
            },
10470
            'direction' => 'in',
10471
            'hdlType' => 'std_logic_vector(31 downto 0)',
10472
            'width' => 32,
10473
          },
10474
          'dout' => {
10475
            'attributes' => {
10476
              'bin_pt' => 0,
10477
              'is_floating_block' => 1,
10478
              'must_be_hdl_vector' => 1,
10479
              'period' => 1.0,
10480
              'port_id' => '0',
10481
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/dout',
10482
              'type' => 'UFix_32_0',
10483
            },
10484
            'direction' => 'out',
10485
            'hdlType' => 'std_logic_vector(31 downto 0)',
10486
            'width' => 32,
10487
          },
10488
          'en' => {
10489
            'attributes' => {
10490
              'bin_pt' => 0,
10491
              'is_floating_block' => 1,
10492
              'must_be_hdl_vector' => 1,
10493
              'period' => 1.0,
10494
              'port_id' => '1',
10495
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/en',
10496
              'type' => 'Bool',
10497
            },
10498
            'direction' => 'in',
10499
            'hdlType' => 'std_logic_vector(0 downto 0)',
10500
            'width' => 1,
10501
          },
10502
        },
10503
      },
10504
      'entityName' => 'x_x24',
10505
    },
10506
    'to_register33' => {
10507
      'connections' => {
10508
        'ce' => 'ce_1_sg',
10509
        'clk' => 'clk_1_sg',
10510
        'clr' => [
10511
          'constant',
10512
          '\'0\'',
10513
        ],
10514
        'data_in' => 'reg14_tv_net_x0',
10515
        'dout' => 'to_register33_dout_net',
10516
        'en' => 'constant1_op_net_x12',
10517
      },
10518
      'entity' => {
10519
        'attributes' => {
10520
          'generics' => [],
10521
          'is_floating_block' => 1,
10522
          'mask' => {
10523
            'Block_Handle' => 64.0009765625,
10524
            'Block_handle' => 64.0009765625,
10525
            'MDL_Handle' => 3.0009765625,
10526
            'MDL_handle' => 3.0009765625,
10527
            'arith_type' => 1,
10528
            'bin_pt' => 14,
10529
            'block_config' => 'sysgen_blockset:toreg_config',
10530
            'block_handle' => 64.0009765625,
10531
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10532
            'block_type' => 'toreg',
10533
            'dbl_ovrd' => 0,
10534
            'explicit_data_type' => 0,
10535
            'init' => 0.0,
10536
            'init_bit_vector' => '0b',
10537
            'mdl_handle' => 3.0009765625,
10538
            'model_handle' => 3.0009765625,
10539
            'n_bits' => 16,
10540
            'ownership' => 1,
10541
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10542
            'shared_memory_name' => 'register14tv',
10543
          },
10544
          'needs_vhdl_wrapper' => 0,
10545
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10546
        },
10547
        'entityName' => 'x_x25',
10548
        'ports' => {
10549
          'ce' => {
10550
            'attributes' => {
10551
              'domain' => '',
10552
              'group' => 1,
10553
              'isCe' => 1,
10554
              'is_floating_block' => 1,
10555
              'period' => 1.0,
10556
              'type' => 'logic',
10557
            },
10558
            'direction' => 'in',
10559
            'hdlType' => 'std_logic',
10560
            'width' => 1,
10561
          },
10562
          'clk' => {
10563
            'attributes' => {
10564
              'domain' => '',
10565
              'group' => 1,
10566
              'isClk' => 1,
10567
              'is_floating_block' => 1,
10568
              'period' => 1.0,
10569
              'type' => 'logic',
10570
            },
10571
            'direction' => 'in',
10572
            'hdlType' => 'std_logic',
10573
            'width' => 1,
10574
          },
10575
          'clr' => {
10576
            'attributes' => {
10577
              'domain' => '',
10578
              'group' => 1,
10579
              'isClr' => 1,
10580
              'is_floating_block' => 1,
10581
              'period' => 1,
10582
              'type' => 'logic',
10583
              'valid_bit_used' => 0,
10584
            },
10585
            'direction' => 'in',
10586
            'hdlType' => 'std_logic',
10587
            'width' => 1,
10588
          },
10589
          'data_in' => {
10590
            'attributes' => {
10591
              'bin_pt' => 0,
10592
              'is_floating_block' => 1,
10593
              'must_be_hdl_vector' => 1,
10594
              'period' => 1.0,
10595
              'port_id' => '0',
10596
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/data_in',
10597
              'type' => 'Bool',
10598
            },
10599
            'direction' => 'in',
10600
            'hdlType' => 'std_logic_vector(0 downto 0)',
10601
            'width' => 1,
10602
          },
10603
          'dout' => {
10604
            'attributes' => {
10605
              'bin_pt' => 0,
10606
              'is_floating_block' => 1,
10607
              'must_be_hdl_vector' => 1,
10608
              'period' => 1.0,
10609
              'port_id' => '0',
10610
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/dout',
10611
              'type' => 'Bool',
10612
            },
10613
            'direction' => 'out',
10614
            'hdlType' => 'std_logic_vector(0 downto 0)',
10615
            'width' => 1,
10616
          },
10617
          'en' => {
10618
            'attributes' => {
10619
              'bin_pt' => 0,
10620
              'is_floating_block' => 1,
10621
              'must_be_hdl_vector' => 1,
10622
              'period' => 1.0,
10623
              'port_id' => '1',
10624
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/en',
10625
              'type' => 'Bool',
10626
            },
10627
            'direction' => 'in',
10628
            'hdlType' => 'std_logic_vector(0 downto 0)',
10629
            'width' => 1,
10630
          },
10631
        },
10632
      },
10633
      'entityName' => 'x_x25',
10634
    },
10635
    'to_register34' => {
10636
      'connections' => {
10637
        'ce' => 'ce_1_sg',
10638
        'clk' => 'clk_1_sg',
10639
        'clr' => [
10640
          'constant',
10641
          '\'0\'',
10642
        ],
10643
        'data_in' => 'reg14_td_net_x0',
10644
        'dout' => 'to_register34_dout_net',
10645
        'en' => 'constant1_op_net_x13',
10646
      },
10647
      'entity' => {
10648
        'attributes' => {
10649
          'generics' => [],
10650
          'is_floating_block' => 1,
10651
          'mask' => {
10652
            'Block_Handle' => 65.0009765625,
10653
            'Block_handle' => 65.0009765625,
10654
            'MDL_Handle' => 3.0009765625,
10655
            'MDL_handle' => 3.0009765625,
10656
            'arith_type' => 1,
10657
            'bin_pt' => 14,
10658
            'block_config' => 'sysgen_blockset:toreg_config',
10659
            'block_handle' => 65.0009765625,
10660
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
10661
            'block_type' => 'toreg',
10662
            'dbl_ovrd' => 0,
10663
            'explicit_data_type' => 0,
10664
            'init' => 0.0,
10665
            'init_bit_vector' => '00000000000000000000000000000000b',
10666
            'mdl_handle' => 3.0009765625,
10667
            'model_handle' => 3.0009765625,
10668
            'n_bits' => 16,
10669
            'ownership' => 1,
10670
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10671
            'shared_memory_name' => 'register14td',
10672
          },
10673
          'needs_vhdl_wrapper' => 0,
10674
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
10675
        },
10676
        'entityName' => 'x_x26',
10677
        'ports' => {
10678
          'ce' => {
10679
            'attributes' => {
10680
              'domain' => '',
10681
              'group' => 1,
10682
              'isCe' => 1,
10683
              'is_floating_block' => 1,
10684
              'period' => 1.0,
10685
              'type' => 'logic',
10686
            },
10687
            'direction' => 'in',
10688
            'hdlType' => 'std_logic',
10689
            'width' => 1,
10690
          },
10691
          'clk' => {
10692
            'attributes' => {
10693
              'domain' => '',
10694
              'group' => 1,
10695
              'isClk' => 1,
10696
              'is_floating_block' => 1,
10697
              'period' => 1.0,
10698
              'type' => 'logic',
10699
            },
10700
            'direction' => 'in',
10701
            'hdlType' => 'std_logic',
10702
            'width' => 1,
10703
          },
10704
          'clr' => {
10705
            'attributes' => {
10706
              'domain' => '',
10707
              'group' => 1,
10708
              'isClr' => 1,
10709
              'is_floating_block' => 1,
10710
              'period' => 1,
10711
              'type' => 'logic',
10712
              'valid_bit_used' => 0,
10713
            },
10714
            'direction' => 'in',
10715
            'hdlType' => 'std_logic',
10716
            'width' => 1,
10717
          },
10718
          'data_in' => {
10719
            'attributes' => {
10720
              'bin_pt' => 0,
10721
              'is_floating_block' => 1,
10722
              'must_be_hdl_vector' => 1,
10723
              'period' => 1.0,
10724
              'port_id' => '0',
10725
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/data_in',
10726
              'type' => 'UFix_32_0',
10727
            },
10728
            'direction' => 'in',
10729
            'hdlType' => 'std_logic_vector(31 downto 0)',
10730
            'width' => 32,
10731
          },
10732
          'dout' => {
10733
            'attributes' => {
10734
              'bin_pt' => 0,
10735
              'is_floating_block' => 1,
10736
              'must_be_hdl_vector' => 1,
10737
              'period' => 1.0,
10738
              'port_id' => '0',
10739
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/dout',
10740
              'type' => 'UFix_32_0',
10741
            },
10742
            'direction' => 'out',
10743
            'hdlType' => 'std_logic_vector(31 downto 0)',
10744
            'width' => 32,
10745
          },
10746
          'en' => {
10747
            'attributes' => {
10748
              'bin_pt' => 0,
10749
              'is_floating_block' => 1,
10750
              'must_be_hdl_vector' => 1,
10751
              'period' => 1.0,
10752
              'port_id' => '1',
10753
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/en',
10754
              'type' => 'Bool',
10755
            },
10756
            'direction' => 'in',
10757
            'hdlType' => 'std_logic_vector(0 downto 0)',
10758
            'width' => 1,
10759
          },
10760
        },
10761
      },
10762
      'entityName' => 'x_x26',
10763
    },
10764
    'to_register4' => {
10765
      'connections' => {
10766
        'ce' => 'ce_1_sg',
10767
        'clk' => 'clk_1_sg',
10768
        'clr' => [
10769
          'constant',
10770
          '\'0\'',
10771
        ],
10772
        'data_in' => 'reg02_tv_net_x0',
10773
        'dout' => 'to_register4_dout_net',
10774
        'en' => 'constant5_op_net_x14',
10775
      },
10776
      'entity' => {
10777
        'attributes' => {
10778
          'generics' => [],
10779
          'is_floating_block' => 1,
10780
          'mask' => {
10781
            'Block_Handle' => 66.0009765625,
10782
            'Block_handle' => 66.0009765625,
10783
            'MDL_Handle' => 3.0009765625,
10784
            'MDL_handle' => 3.0009765625,
10785
            'arith_type' => 1,
10786
            'bin_pt' => 14,
10787
            'block_config' => 'sysgen_blockset:toreg_config',
10788
            'block_handle' => 66.0009765625,
10789
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
10790
            'block_type' => 'toreg',
10791
            'dbl_ovrd' => 0,
10792
            'explicit_data_type' => 0,
10793
            'init' => 0.0,
10794
            'init_bit_vector' => '0b',
10795
            'mdl_handle' => 3.0009765625,
10796
            'model_handle' => 3.0009765625,
10797
            'n_bits' => 16,
10798
            'ownership' => 1,
10799
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10800
            'shared_memory_name' => 'register02tv',
10801
          },
10802
          'needs_vhdl_wrapper' => 0,
10803
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
10804
        },
10805
        'entityName' => 'x_x27',
10806
        'ports' => {
10807
          'ce' => {
10808
            'attributes' => {
10809
              'domain' => '',
10810
              'group' => 1,
10811
              'isCe' => 1,
10812
              'is_floating_block' => 1,
10813
              'period' => 1.0,
10814
              'type' => 'logic',
10815
            },
10816
            'direction' => 'in',
10817
            'hdlType' => 'std_logic',
10818
            'width' => 1,
10819
          },
10820
          'clk' => {
10821
            'attributes' => {
10822
              'domain' => '',
10823
              'group' => 1,
10824
              'isClk' => 1,
10825
              'is_floating_block' => 1,
10826
              'period' => 1.0,
10827
              'type' => 'logic',
10828
            },
10829
            'direction' => 'in',
10830
            'hdlType' => 'std_logic',
10831
            'width' => 1,
10832
          },
10833
          'clr' => {
10834
            'attributes' => {
10835
              'domain' => '',
10836
              'group' => 1,
10837
              'isClr' => 1,
10838
              'is_floating_block' => 1,
10839
              'period' => 1,
10840
              'type' => 'logic',
10841
              'valid_bit_used' => 0,
10842
            },
10843
            'direction' => 'in',
10844
            'hdlType' => 'std_logic',
10845
            'width' => 1,
10846
          },
10847
          'data_in' => {
10848
            'attributes' => {
10849
              'bin_pt' => 0,
10850
              'is_floating_block' => 1,
10851
              'must_be_hdl_vector' => 1,
10852
              'period' => 1.0,
10853
              'port_id' => '0',
10854
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/data_in',
10855
              'type' => 'Bool',
10856
            },
10857
            'direction' => 'in',
10858
            'hdlType' => 'std_logic_vector(0 downto 0)',
10859
            'width' => 1,
10860
          },
10861
          'dout' => {
10862
            'attributes' => {
10863
              'bin_pt' => 0,
10864
              'is_floating_block' => 1,
10865
              'must_be_hdl_vector' => 1,
10866
              'period' => 1.0,
10867
              'port_id' => '0',
10868
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/dout',
10869
              'type' => 'Bool',
10870
            },
10871
            'direction' => 'out',
10872
            'hdlType' => 'std_logic_vector(0 downto 0)',
10873
            'width' => 1,
10874
          },
10875
          'en' => {
10876
            'attributes' => {
10877
              'bin_pt' => 0,
10878
              'is_floating_block' => 1,
10879
              'must_be_hdl_vector' => 1,
10880
              'period' => 1.0,
10881
              'port_id' => '1',
10882
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/en',
10883
              'type' => 'Bool',
10884
            },
10885
            'direction' => 'in',
10886
            'hdlType' => 'std_logic_vector(0 downto 0)',
10887
            'width' => 1,
10888
          },
10889
        },
10890
      },
10891
      'entityName' => 'x_x27',
10892
    },
10893
    'to_register5' => {
10894
      'connections' => {
10895
        'ce' => 'ce_1_sg',
10896
        'clk' => 'clk_1_sg',
10897
        'clr' => [
10898
          'constant',
10899
          '\'0\'',
10900
        ],
10901
        'data_in' => 'reg02_td_net_x0',
10902
        'dout' => 'to_register5_dout_net',
10903
        'en' => 'constant5_op_net_x15',
10904
      },
10905
      'entity' => {
10906
        'attributes' => {
10907
          'generics' => [],
10908
          'is_floating_block' => 1,
10909
          'mask' => {
10910
            'Block_Handle' => 67.0009765625,
10911
            'Block_handle' => 67.0009765625,
10912
            'MDL_Handle' => 3.0009765625,
10913
            'MDL_handle' => 3.0009765625,
10914
            'arith_type' => 1,
10915
            'bin_pt' => 14,
10916
            'block_config' => 'sysgen_blockset:toreg_config',
10917
            'block_handle' => 67.0009765625,
10918
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
10919
            'block_type' => 'toreg',
10920
            'dbl_ovrd' => 0,
10921
            'explicit_data_type' => 0,
10922
            'init' => 0.0,
10923
            'init_bit_vector' => '00000000000000000000000000000000b',
10924
            'mdl_handle' => 3.0009765625,
10925
            'model_handle' => 3.0009765625,
10926
            'n_bits' => 16,
10927
            'ownership' => 1,
10928
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10929
            'shared_memory_name' => 'register02td',
10930
          },
10931
          'needs_vhdl_wrapper' => 0,
10932
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
10933
        },
10934
        'entityName' => 'x_x28',
10935
        'ports' => {
10936
          'ce' => {
10937
            'attributes' => {
10938
              'domain' => '',
10939
              'group' => 1,
10940
              'isCe' => 1,
10941
              'is_floating_block' => 1,
10942
              'period' => 1.0,
10943
              'type' => 'logic',
10944
            },
10945
            'direction' => 'in',
10946
            'hdlType' => 'std_logic',
10947
            'width' => 1,
10948
          },
10949
          'clk' => {
10950
            'attributes' => {
10951
              'domain' => '',
10952
              'group' => 1,
10953
              'isClk' => 1,
10954
              'is_floating_block' => 1,
10955
              'period' => 1.0,
10956
              'type' => 'logic',
10957
            },
10958
            'direction' => 'in',
10959
            'hdlType' => 'std_logic',
10960
            'width' => 1,
10961
          },
10962
          'clr' => {
10963
            'attributes' => {
10964
              'domain' => '',
10965
              'group' => 1,
10966
              'isClr' => 1,
10967
              'is_floating_block' => 1,
10968
              'period' => 1,
10969
              'type' => 'logic',
10970
              'valid_bit_used' => 0,
10971
            },
10972
            'direction' => 'in',
10973
            'hdlType' => 'std_logic',
10974
            'width' => 1,
10975
          },
10976
          'data_in' => {
10977
            'attributes' => {
10978
              'bin_pt' => 0,
10979
              'is_floating_block' => 1,
10980
              'must_be_hdl_vector' => 1,
10981
              'period' => 1.0,
10982
              'port_id' => '0',
10983
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/data_in',
10984
              'type' => 'UFix_32_0',
10985
            },
10986
            'direction' => 'in',
10987
            'hdlType' => 'std_logic_vector(31 downto 0)',
10988
            'width' => 32,
10989
          },
10990
          'dout' => {
10991
            'attributes' => {
10992
              'bin_pt' => 0,
10993
              'is_floating_block' => 1,
10994
              'must_be_hdl_vector' => 1,
10995
              'period' => 1.0,
10996
              'port_id' => '0',
10997
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/dout',
10998
              'type' => 'UFix_32_0',
10999
            },
11000
            'direction' => 'out',
11001
            'hdlType' => 'std_logic_vector(31 downto 0)',
11002
            'width' => 32,
11003
          },
11004
          'en' => {
11005
            'attributes' => {
11006
              'bin_pt' => 0,
11007
              'is_floating_block' => 1,
11008
              'must_be_hdl_vector' => 1,
11009
              'period' => 1.0,
11010
              'port_id' => '1',
11011
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/en',
11012
              'type' => 'Bool',
11013
            },
11014
            'direction' => 'in',
11015
            'hdlType' => 'std_logic_vector(0 downto 0)',
11016
            'width' => 1,
11017
          },
11018
        },
11019
      },
11020
      'entityName' => 'x_x28',
11021
    },
11022
    'to_register6' => {
11023
      'connections' => {
11024
        'ce' => 'ce_1_sg',
11025
        'clk' => 'clk_1_sg',
11026
        'clr' => [
11027
          'constant',
11028
          '\'0\'',
11029
        ],
11030
        'data_in' => 'debug_in_1i_net_x0',
11031
        'dout' => 'to_register6_dout_net',
11032
        'en' => 'constant5_op_net_x16',
11033
      },
11034
      'entity' => {
11035
        'attributes' => {
11036
          'generics' => [],
11037
          'is_floating_block' => 1,
11038
          'mask' => {
11039
            'Block_Handle' => 68.0009765625,
11040
            'Block_handle' => 68.0009765625,
11041
            'MDL_Handle' => 3.0009765625,
11042
            'MDL_handle' => 3.0009765625,
11043
            'arith_type' => 1,
11044
            'bin_pt' => 14,
11045
            'block_config' => 'sysgen_blockset:toreg_config',
11046
            'block_handle' => 68.0009765625,
11047
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11048
            'block_type' => 'toreg',
11049
            'dbl_ovrd' => 0,
11050
            'explicit_data_type' => 0,
11051
            'init' => 0.0,
11052
            'init_bit_vector' => '00000000000000000000000000000000b',
11053
            'mdl_handle' => 3.0009765625,
11054
            'model_handle' => 3.0009765625,
11055
            'n_bits' => 16,
11056
            'ownership' => 1,
11057
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11058
            'shared_memory_name' => 'debug1i',
11059
          },
11060
          'needs_vhdl_wrapper' => 0,
11061
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11062
        },
11063
        'entityName' => 'x_x29',
11064
        'ports' => {
11065
          'ce' => {
11066
            'attributes' => {
11067
              'domain' => '',
11068
              'group' => 1,
11069
              'isCe' => 1,
11070
              'is_floating_block' => 1,
11071
              'period' => 1.0,
11072
              'type' => 'logic',
11073
            },
11074
            'direction' => 'in',
11075
            'hdlType' => 'std_logic',
11076
            'width' => 1,
11077
          },
11078
          'clk' => {
11079
            'attributes' => {
11080
              'domain' => '',
11081
              'group' => 1,
11082
              'isClk' => 1,
11083
              'is_floating_block' => 1,
11084
              'period' => 1.0,
11085
              'type' => 'logic',
11086
            },
11087
            'direction' => 'in',
11088
            'hdlType' => 'std_logic',
11089
            'width' => 1,
11090
          },
11091
          'clr' => {
11092
            'attributes' => {
11093
              'domain' => '',
11094
              'group' => 1,
11095
              'isClr' => 1,
11096
              'is_floating_block' => 1,
11097
              'period' => 1,
11098
              'type' => 'logic',
11099
              'valid_bit_used' => 0,
11100
            },
11101
            'direction' => 'in',
11102
            'hdlType' => 'std_logic',
11103
            'width' => 1,
11104
          },
11105
          'data_in' => {
11106
            'attributes' => {
11107
              'bin_pt' => 0,
11108
              'is_floating_block' => 1,
11109
              'must_be_hdl_vector' => 1,
11110
              'period' => 1.0,
11111
              'port_id' => '0',
11112
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/data_in',
11113
              'type' => 'UFix_32_0',
11114
            },
11115
            'direction' => 'in',
11116
            'hdlType' => 'std_logic_vector(31 downto 0)',
11117
            'width' => 32,
11118
          },
11119
          'dout' => {
11120
            'attributes' => {
11121
              'bin_pt' => 0,
11122
              'is_floating_block' => 1,
11123
              'must_be_hdl_vector' => 1,
11124
              'period' => 1.0,
11125
              'port_id' => '0',
11126
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/dout',
11127
              'type' => 'UFix_32_0',
11128
            },
11129
            'direction' => 'out',
11130
            'hdlType' => 'std_logic_vector(31 downto 0)',
11131
            'width' => 32,
11132
          },
11133
          'en' => {
11134
            'attributes' => {
11135
              'bin_pt' => 0,
11136
              'is_floating_block' => 1,
11137
              'must_be_hdl_vector' => 1,
11138
              'period' => 1.0,
11139
              'port_id' => '1',
11140
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/en',
11141
              'type' => 'Bool',
11142
            },
11143
            'direction' => 'in',
11144
            'hdlType' => 'std_logic_vector(0 downto 0)',
11145
            'width' => 1,
11146
          },
11147
        },
11148
      },
11149
      'entityName' => 'x_x29',
11150
    },
11151
    'to_register7' => {
11152
      'connections' => {
11153
        'ce' => 'ce_1_sg',
11154
        'clk' => 'clk_1_sg',
11155
        'clr' => [
11156
          'constant',
11157
          '\'0\'',
11158
        ],
11159
        'data_in' => 'reg01_td_net_x0',
11160
        'dout' => 'to_register7_dout_net',
11161
        'en' => 'constant5_op_net_x17',
11162
      },
11163
      'entity' => {
11164
        'attributes' => {
11165
          'generics' => [],
11166
          'is_floating_block' => 1,
11167
          'mask' => {
11168
            'Block_Handle' => 69.0009765625,
11169
            'Block_handle' => 69.0009765625,
11170
            'MDL_Handle' => 3.0009765625,
11171
            'MDL_handle' => 3.0009765625,
11172
            'arith_type' => 1,
11173
            'bin_pt' => 14,
11174
            'block_config' => 'sysgen_blockset:toreg_config',
11175
            'block_handle' => 69.0009765625,
11176
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11177
            'block_type' => 'toreg',
11178
            'dbl_ovrd' => 0,
11179
            'explicit_data_type' => 0,
11180
            'init' => 0.0,
11181
            'init_bit_vector' => '00000000000000000000000000000000b',
11182
            'mdl_handle' => 3.0009765625,
11183
            'model_handle' => 3.0009765625,
11184
            'n_bits' => 16,
11185
            'ownership' => 1,
11186
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11187
            'shared_memory_name' => 'register01td',
11188
          },
11189
          'needs_vhdl_wrapper' => 0,
11190
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11191
        },
11192
        'entityName' => 'x_x30',
11193
        'ports' => {
11194
          'ce' => {
11195
            'attributes' => {
11196
              'domain' => '',
11197
              'group' => 1,
11198
              'isCe' => 1,
11199
              'is_floating_block' => 1,
11200
              'period' => 1.0,
11201
              'type' => 'logic',
11202
            },
11203
            'direction' => 'in',
11204
            'hdlType' => 'std_logic',
11205
            'width' => 1,
11206
          },
11207
          'clk' => {
11208
            'attributes' => {
11209
              'domain' => '',
11210
              'group' => 1,
11211
              'isClk' => 1,
11212
              'is_floating_block' => 1,
11213
              'period' => 1.0,
11214
              'type' => 'logic',
11215
            },
11216
            'direction' => 'in',
11217
            'hdlType' => 'std_logic',
11218
            'width' => 1,
11219
          },
11220
          'clr' => {
11221
            'attributes' => {
11222
              'domain' => '',
11223
              'group' => 1,
11224
              'isClr' => 1,
11225
              'is_floating_block' => 1,
11226
              'period' => 1,
11227
              'type' => 'logic',
11228
              'valid_bit_used' => 0,
11229
            },
11230
            'direction' => 'in',
11231
            'hdlType' => 'std_logic',
11232
            'width' => 1,
11233
          },
11234
          'data_in' => {
11235
            'attributes' => {
11236
              'bin_pt' => 0,
11237
              'is_floating_block' => 1,
11238
              'must_be_hdl_vector' => 1,
11239
              'period' => 1.0,
11240
              'port_id' => '0',
11241
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/data_in',
11242
              'type' => 'UFix_32_0',
11243
            },
11244
            'direction' => 'in',
11245
            'hdlType' => 'std_logic_vector(31 downto 0)',
11246
            'width' => 32,
11247
          },
11248
          'dout' => {
11249
            'attributes' => {
11250
              'bin_pt' => 0,
11251
              'is_floating_block' => 1,
11252
              'must_be_hdl_vector' => 1,
11253
              'period' => 1.0,
11254
              'port_id' => '0',
11255
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/dout',
11256
              'type' => 'UFix_32_0',
11257
            },
11258
            'direction' => 'out',
11259
            'hdlType' => 'std_logic_vector(31 downto 0)',
11260
            'width' => 32,
11261
          },
11262
          'en' => {
11263
            'attributes' => {
11264
              'bin_pt' => 0,
11265
              'is_floating_block' => 1,
11266
              'must_be_hdl_vector' => 1,
11267
              'period' => 1.0,
11268
              'port_id' => '1',
11269
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/en',
11270
              'type' => 'Bool',
11271
            },
11272
            'direction' => 'in',
11273
            'hdlType' => 'std_logic_vector(0 downto 0)',
11274
            'width' => 1,
11275
          },
11276
        },
11277
      },
11278
      'entityName' => 'x_x30',
11279
    },
11280
    'to_register8' => {
11281
      'connections' => {
11282
        'ce' => 'ce_1_sg',
11283
        'clk' => 'clk_1_sg',
11284
        'clr' => [
11285
          'constant',
11286
          '\'0\'',
11287
        ],
11288
        'data_in' => 'reg03_tv_net_x0',
11289
        'dout' => 'to_register8_dout_net',
11290
        'en' => 'constant5_op_net_x18',
11291
      },
11292
      'entity' => {
11293
        'attributes' => {
11294
          'generics' => [],
11295
          'is_floating_block' => 1,
11296
          'mask' => {
11297
            'Block_Handle' => 70.0009765625,
11298
            'Block_handle' => 70.0009765625,
11299
            'MDL_Handle' => 3.0009765625,
11300
            'MDL_handle' => 3.0009765625,
11301
            'arith_type' => 1,
11302
            'bin_pt' => 14,
11303
            'block_config' => 'sysgen_blockset:toreg_config',
11304
            'block_handle' => 70.0009765625,
11305
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11306
            'block_type' => 'toreg',
11307
            'dbl_ovrd' => 0,
11308
            'explicit_data_type' => 0,
11309
            'init' => 0.0,
11310
            'init_bit_vector' => '0b',
11311
            'mdl_handle' => 3.0009765625,
11312
            'model_handle' => 3.0009765625,
11313
            'n_bits' => 16,
11314
            'ownership' => 1,
11315
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11316
            'shared_memory_name' => 'register03tv',
11317
          },
11318
          'needs_vhdl_wrapper' => 0,
11319
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11320
        },
11321
        'entityName' => 'x_x31',
11322
        'ports' => {
11323
          'ce' => {
11324
            'attributes' => {
11325
              'domain' => '',
11326
              'group' => 1,
11327
              'isCe' => 1,
11328
              'is_floating_block' => 1,
11329
              'period' => 1.0,
11330
              'type' => 'logic',
11331
            },
11332
            'direction' => 'in',
11333
            'hdlType' => 'std_logic',
11334
            'width' => 1,
11335
          },
11336
          'clk' => {
11337
            'attributes' => {
11338
              'domain' => '',
11339
              'group' => 1,
11340
              'isClk' => 1,
11341
              'is_floating_block' => 1,
11342
              'period' => 1.0,
11343
              'type' => 'logic',
11344
            },
11345
            'direction' => 'in',
11346
            'hdlType' => 'std_logic',
11347
            'width' => 1,
11348
          },
11349
          'clr' => {
11350
            'attributes' => {
11351
              'domain' => '',
11352
              'group' => 1,
11353
              'isClr' => 1,
11354
              'is_floating_block' => 1,
11355
              'period' => 1,
11356
              'type' => 'logic',
11357
              'valid_bit_used' => 0,
11358
            },
11359
            'direction' => 'in',
11360
            'hdlType' => 'std_logic',
11361
            'width' => 1,
11362
          },
11363
          'data_in' => {
11364
            'attributes' => {
11365
              'bin_pt' => 0,
11366
              'is_floating_block' => 1,
11367
              'must_be_hdl_vector' => 1,
11368
              'period' => 1.0,
11369
              'port_id' => '0',
11370
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/data_in',
11371
              'type' => 'Bool',
11372
            },
11373
            'direction' => 'in',
11374
            'hdlType' => 'std_logic_vector(0 downto 0)',
11375
            'width' => 1,
11376
          },
11377
          'dout' => {
11378
            'attributes' => {
11379
              'bin_pt' => 0,
11380
              'is_floating_block' => 1,
11381
              'must_be_hdl_vector' => 1,
11382
              'period' => 1.0,
11383
              'port_id' => '0',
11384
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/dout',
11385
              'type' => 'Bool',
11386
            },
11387
            'direction' => 'out',
11388
            'hdlType' => 'std_logic_vector(0 downto 0)',
11389
            'width' => 1,
11390
          },
11391
          'en' => {
11392
            'attributes' => {
11393
              'bin_pt' => 0,
11394
              'is_floating_block' => 1,
11395
              'must_be_hdl_vector' => 1,
11396
              'period' => 1.0,
11397
              'port_id' => '1',
11398
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/en',
11399
              'type' => 'Bool',
11400
            },
11401
            'direction' => 'in',
11402
            'hdlType' => 'std_logic_vector(0 downto 0)',
11403
            'width' => 1,
11404
          },
11405
        },
11406
      },
11407
      'entityName' => 'x_x31',
11408
    },
11409
    'to_register9' => {
11410
      'connections' => {
11411
        'ce' => 'ce_1_sg',
11412
        'clk' => 'clk_1_sg',
11413
        'clr' => [
11414
          'constant',
11415
          '\'0\'',
11416
        ],
11417
        'data_in' => 'reg03_td_net_x0',
11418
        'dout' => 'to_register9_dout_net',
11419
        'en' => 'constant5_op_net_x19',
11420
      },
11421
      'entity' => {
11422
        'attributes' => {
11423
          'generics' => [],
11424
          'is_floating_block' => 1,
11425
          'mask' => {
11426
            'Block_Handle' => 71.0009765625,
11427
            'Block_handle' => 71.0009765625,
11428
            'MDL_Handle' => 3.0009765625,
11429
            'MDL_handle' => 3.0009765625,
11430
            'arith_type' => 1,
11431
            'bin_pt' => 14,
11432
            'block_config' => 'sysgen_blockset:toreg_config',
11433
            'block_handle' => 71.0009765625,
11434
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11435
            'block_type' => 'toreg',
11436
            'dbl_ovrd' => 0,
11437
            'explicit_data_type' => 0,
11438
            'init' => 0.0,
11439
            'init_bit_vector' => '00000000000000000000000000000000b',
11440
            'mdl_handle' => 3.0009765625,
11441
            'model_handle' => 3.0009765625,
11442
            'n_bits' => 16,
11443
            'ownership' => 1,
11444
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11445
            'shared_memory_name' => 'register03td',
11446
          },
11447
          'needs_vhdl_wrapper' => 0,
11448
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11449
        },
11450
        'entityName' => 'x_x32',
11451
        'ports' => {
11452
          'ce' => {
11453
            'attributes' => {
11454
              'domain' => '',
11455
              'group' => 1,
11456
              'isCe' => 1,
11457
              'is_floating_block' => 1,
11458
              'period' => 1.0,
11459
              'type' => 'logic',
11460
            },
11461
            'direction' => 'in',
11462
            'hdlType' => 'std_logic',
11463
            'width' => 1,
11464
          },
11465
          'clk' => {
11466
            'attributes' => {
11467
              'domain' => '',
11468
              'group' => 1,
11469
              'isClk' => 1,
11470
              'is_floating_block' => 1,
11471
              'period' => 1.0,
11472
              'type' => 'logic',
11473
            },
11474
            'direction' => 'in',
11475
            'hdlType' => 'std_logic',
11476
            'width' => 1,
11477
          },
11478
          'clr' => {
11479
            'attributes' => {
11480
              'domain' => '',
11481
              'group' => 1,
11482
              'isClr' => 1,
11483
              'is_floating_block' => 1,
11484
              'period' => 1,
11485
              'type' => 'logic',
11486
              'valid_bit_used' => 0,
11487
            },
11488
            'direction' => 'in',
11489
            'hdlType' => 'std_logic',
11490
            'width' => 1,
11491
          },
11492
          'data_in' => {
11493
            'attributes' => {
11494
              'bin_pt' => 0,
11495
              'is_floating_block' => 1,
11496
              'must_be_hdl_vector' => 1,
11497
              'period' => 1.0,
11498
              'port_id' => '0',
11499
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/data_in',
11500
              'type' => 'UFix_32_0',
11501
            },
11502
            'direction' => 'in',
11503
            'hdlType' => 'std_logic_vector(31 downto 0)',
11504
            'width' => 32,
11505
          },
11506
          'dout' => {
11507
            'attributes' => {
11508
              'bin_pt' => 0,
11509
              'is_floating_block' => 1,
11510
              'must_be_hdl_vector' => 1,
11511
              'period' => 1.0,
11512
              'port_id' => '0',
11513
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/dout',
11514
              'type' => 'UFix_32_0',
11515
            },
11516
            'direction' => 'out',
11517
            'hdlType' => 'std_logic_vector(31 downto 0)',
11518
            'width' => 32,
11519
          },
11520
          'en' => {
11521
            'attributes' => {
11522
              'bin_pt' => 0,
11523
              'is_floating_block' => 1,
11524
              'must_be_hdl_vector' => 1,
11525
              'period' => 1.0,
11526
              'port_id' => '1',
11527
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/en',
11528
              'type' => 'Bool',
11529
            },
11530
            'direction' => 'in',
11531
            'hdlType' => 'std_logic_vector(0 downto 0)',
11532
            'width' => 1,
11533
          },
11534
        },
11535
      },
11536
      'entityName' => 'x_x32',
11537
    },
11538
  },
11539
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.