OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [MyUserLogic/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [synopsis.2] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5,
14
    'base_system_period_simulink' => '8e-009',
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0,
19
    'clock_loc' => '',
20
    'clock_wrapper' => 'Clock Enables',
21
    'clock_wrapper_sgadvanced' => '',
22
    'compilation' => 'NGC Netlist',
23
    'compilation_lut' => {
24
      'keys' => [
25
        'HDL Netlist',
26
        'Bitstream',
27
        'NGC Netlist',
28
      ],
29
      'values' => [
30
        'target1',
31
        'target2',
32
        'target3',
33
      ],
34
    },
35
    'compilation_target' => 'NGC Netlist',
36
    'core_generation' => 1,
37
    'core_generation_sgadvanced' => '',
38
    'core_is_deployed' => 0,
39
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c00613f6911dfdaa6',
40
    'coregen_part_family' => 'virtex6',
41
    'createTestbench' => 0,
42
    'create_interface_document' => 'off',
43
    'dbl_ovrd' => -1,
44
    'dbl_ovrd_sgadvanced' => '',
45
    'dcm_info' => {
46
    },
47
    'dcm_input_clock_period' => 5,
48
    'deprecated_control' => 'off',
49
    'deprecated_control_sgadvanced' => '',
50
    'design' => 'inout_logic',
51
    'designFile' => 'inout_logic.vhd',
52
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\pcie-v6-ml605_ISE12_OpenCores\\MySysGen\\PCIe_UserLogic_00.mdl',
53
    'device' => 'xc6vlx240t-3ff784',
54
    'device_speed' => -3,
55
    'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
56
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
57
    'entityNamingInstrs' => {
58
      'nameMap' => undef,
59
      'namesAlreadyUsed' => undef,
60
    },
61
    'eval_field' => 0,
62
    'fileAttributes' => {
63
      'nonleaf_results.vhd' => {
64
        'producer' => 'nonleafNetlister',
65
      },
66
    },
67
    'files' => [
68
      'xlpersistentdff.ngc',
69
      'synopsis',
70
      'inout_logic.vhd',
71
    ],
72
    'fxdptinstalled' => 1,
73
    'generateUsing71FrontEnd' => 1,
74
    'generating_island_subsystem_handle' => 4.0009765625,
75
    'generating_subsystem_handle' => 4.0009765625,
76
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
77
    'has_advanced_control' => 0,
78
    'hdlDir' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen/hdl',
79
    'hdlKind' => 'vhdl',
80
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
81
    'impl_file' => 'ISE Defaults*',
82
    'incr_netlist' => 'off',
83
    'incr_netlist_sgadvanced' => '',
84
    'infoedit' => ' System Generator',
85
    'isCombinatorial' => 1,
86
    'isdeployed' => 0,
87
    'ise_version' => '12.3i',
88
    'master_sysgen_token_handle' => 5.0009765625,
89
    'matlab' => 'C:/Programmi/MATLAB/R2010a',
90
    'matlab_fixedpoint' => 1,
91
    'mdlHandle' => 3.0009765625,
92
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
93
    'modelDiagnostics' => [
94
      {
95
        'count' => 339,
96
        'isMask' => 0,
97
        'type' => 'PCIe_UserLogic_00 Total blocks',
98
      },
99
      {
100
        'count' => 4,
101
        'isMask' => 0,
102
        'type' => 'DiscretePulseGenerator',
103
      },
104
      {
105
        'count' => 327,
106
        'isMask' => 0,
107
        'type' => 'S-Function',
108
      },
109
      {
110
        'count' => 4,
111
        'isMask' => 0,
112
        'type' => 'SubSystem',
113
      },
114
      {
115
        'count' => 4,
116
        'isMask' => 0,
117
        'type' => 'Terminator',
118
      },
119
      {
120
        'count' => 23,
121
        'isMask' => 1,
122
        'type' => 'Xilinx Constant Block Block',
123
      },
124
      {
125
        'count' => 1,
126
        'isMask' => 1,
127
        'type' => 'Xilinx Counter Block',
128
      },
129
      {
130
        'count' => 44,
131
        'isMask' => 1,
132
        'type' => 'Xilinx Gateway In Block',
133
      },
134
      {
135
        'count' => 39,
136
        'isMask' => 1,
137
        'type' => 'Xilinx Gateway Out Block',
138
      },
139
      {
140
        'count' => 2,
141
        'isMask' => 1,
142
        'type' => 'Xilinx Inverter Block',
143
      },
144
      {
145
        'count' => 1,
146
        'isMask' => 1,
147
        'type' => 'Xilinx Logical Block Block',
148
      },
149
      {
150
        'count' => 78,
151
        'isMask' => 1,
152
        'type' => 'Xilinx Register Block',
153
      },
154
      {
155
        'count' => 62,
156
        'isMask' => 1,
157
        'type' => 'Xilinx Shared Memory Based From Register Block',
158
      },
159
      {
160
        'count' => 62,
161
        'isMask' => 1,
162
        'type' => 'Xilinx Shared Memory Based To Register Block',
163
      },
164
      {
165
        'count' => 1,
166
        'isMask' => 1,
167
        'type' => 'Xilinx Subsystem Generator Block',
168
      },
169
      {
170
        'count' => 2,
171
        'isMask' => 1,
172
        'type' => 'Xilinx System Generator Block',
173
      },
174
      {
175
        'count' => 14,
176
        'isMask' => 1,
177
        'type' => 'Xilinx Type Converter Block',
178
      },
179
    ],
180
    'model_globals_initialized' => 1,
181
    'model_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
182
    'myxilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
183
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
184
    'ngc_config' => {
185
      'include_cf' => 1,
186
      'include_clockwrapper' => 1,
187
    },
188
    'ngc_files' => [
189
      'xlpersistentdff.ngc',
190
    ],
191
    'num_sim_cycles' => 1250000000,
192
    'package' => 'ff784',
193
    'part' => 'xc6vlx240t',
194
    'partFamily' => 'virtex6',
195
    'port_data_types_enabled' => 1,
196
    'postgeneration_fcn' => 'xlNGCPostGeneration',
197
    'preserve_hierarchy' => 0,
198
    'proj_type' => 'Project Navigator',
199
    'proj_type_sgadvanced' => '',
200
    'run_coregen' => 'off',
201
    'run_coregen_sgadvanced' => '',
202
    'sample_time_colors_enabled' => 1,
203
    'sampletimecolors' => 1,
204
    'settings_fcn' => 'xlngcsettings',
205
    'sg_blockgui_xml' => '',
206
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
207
    'sg_list_contents' => '',
208
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
209
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
210
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
211
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
212
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
213
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
214
fprintf(\'\',\'COMMENT: end icon graphics\');
215
fprintf(\'\',\'COMMENT: begin icon text\');
216
fprintf(\'\',\'COMMENT: end icon text\');',
217
    'sg_version' => '',
218
    'sggui_pos' => '-1,-1,-1,-1',
219
    'simulation_island_subsystem_handle' => 4.0009765625,
220
    'simulinkName' => 'parking_lot',
221
    'simulink_accelerator_running' => 0,
222
    'simulink_debugger_running' => 0,
223
    'simulink_period' => '8e-009',
224
    'speed' => -3,
225
    'synth_file' => 'XST Defaults*',
226
    'synthesisTool' => 'XST',
227
    'synthesis_language' => 'vhdl',
228
    'synthesis_tool' => 'XST',
229
    'synthesis_tool_sgadvanced' => '',
230
    'sysclk_period' => 5,
231
    'sysgen' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
232
    'sysgenRoot' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
233
    'sysgenTokenSettings' => {
234
      'Impl_file' => 'ISE Defaults',
235
      'Impl_file_sgadvanced' => '',
236
      'Synth_file' => 'XST Defaults',
237
      'Synth_file_sgadvanced' => '',
238
      'base_system_period_hardware' => 5,
239
      'base_system_period_simulink' => '8e-009',
240
      'block_icon_display' => 'Default',
241
      'block_type' => 'sysgen',
242
      'block_version' => '',
243
      'ce_clr' => 0,
244
      'clock_loc' => '',
245
      'clock_wrapper' => 'Clock Enables',
246
      'clock_wrapper_sgadvanced' => '',
247
      'compilation' => 'NGC Netlist',
248
      'compilation_lut' => {
249
        'keys' => [
250
          'HDL Netlist',
251
          'Bitstream',
252
          'NGC Netlist',
253
        ],
254
        'values' => [
255
          'target1',
256
          'target2',
257
          'target3',
258
        ],
259
      },
260
      'core_generation' => 1,
261
      'core_generation_sgadvanced' => '',
262
      'coregen_part_family' => 'virtex6',
263
      'create_interface_document' => 'off',
264
      'dbl_ovrd' => -1,
265
      'dbl_ovrd_sgadvanced' => '',
266
      'dcm_input_clock_period' => 5,
267
      'deprecated_control' => 'off',
268
      'deprecated_control_sgadvanced' => '',
269
      'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
270
      'eval_field' => 0,
271
      'has_advanced_control' => 0,
272
      'impl_file' => 'ISE Defaults*',
273
      'incr_netlist' => 'off',
274
      'incr_netlist_sgadvanced' => '',
275
      'infoedit' => ' System Generator',
276
      'master_sysgen_token_handle' => 5.0009765625,
277
      'ngc_config' => {
278
        'include_cf' => 1,
279
        'include_clockwrapper' => 1,
280
      },
281
      'package' => 'ff784',
282
      'part' => 'xc6vlx240t',
283
      'postgeneration_fcn' => 'xlNGCPostGeneration',
284
      'preserve_hierarchy' => 0,
285
      'proj_type' => 'Project Navigator',
286
      'proj_type_sgadvanced' => '',
287
      'run_coregen' => 'off',
288
      'run_coregen_sgadvanced' => '',
289
      'settings_fcn' => 'xlngcsettings',
290
      'sg_blockgui_xml' => '',
291
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
292
      'sg_list_contents' => '',
293
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
294
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
295
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
296
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
297
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
298
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
299
fprintf(\'\',\'COMMENT: end icon graphics\');
300
fprintf(\'\',\'COMMENT: begin icon text\');
301
fprintf(\'\',\'COMMENT: end icon text\');',
302
      'sggui_pos' => '-1,-1,-1,-1',
303
      'simulation_island_subsystem_handle' => 4.0009765625,
304
      'simulink_period' => '8e-009',
305
      'speed' => -3,
306
      'synth_file' => 'XST Defaults*',
307
      'synthesis_language' => 'vhdl',
308
      'synthesis_tool' => 'XST',
309
      'synthesis_tool_sgadvanced' => '',
310
      'sysclk_period' => 5,
311
      'testbench' => 0,
312
      'testbench_sgadvanced' => '',
313
      'trim_vbits' => 1,
314
      'trim_vbits_sgadvanced' => '',
315
      'xilinx_device' => 'xc6vlx240t-3ff784',
316
      'xilinxfamily' => 'virtex6',
317
    },
318
    'sysgen_Root' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
319
    'systemClockPeriod' => 5,
320
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
321
    'testbench' => 0,
322
    'testbench_sgadvanced' => '',
323
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen',
324
    'trim_vbits' => 1,
325
    'trim_vbits_sgadvanced' => '',
326
    'use_ce_syn_keep' => 1,
327
    'use_strict_names' => 1,
328
    'user_tips_enabled' => 0,
329
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
330
    'using71Netlister' => 1,
331
    'verilog_files' => [
332
      'conv_pkg.v',
333
      'synth_reg.v',
334
      'synth_reg_w_init.v',
335
      'convert_type.v',
336
    ],
337
    'version' => '',
338
    'vhdl_files' => [
339
      'conv_pkg.vhd',
340
      'synth_reg.vhd',
341
      'synth_reg_w_init.vhd',
342
    ],
343
    'vsimtime' => '6875000275.000000 ns',
344
    'xilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
345
    'xilinx_device' => 'xc6vlx240t-3ff784',
346
    'xilinx_family' => 'virtex6',
347
    'xilinx_package' => 'ff784',
348
    'xilinx_part' => 'xc6vlx240t',
349
    'xilinxdevice' => 'xc6vlx240t-3ff784',
350
    'xilinxfamily' => 'virtex6',
351
    'xilinxpart' => 'xc6vlx240t',
352
  },
353
  'entityName' => '',
354
  'nets' => {
355
    'ce_1_sg' => {
356
      'hdlType' => 'std_logic',
357
      'width' => 1,
358
    },
359
    'clk_1_sg' => {
360
      'hdlType' => 'std_logic',
361
      'width' => 1,
362
    },
363
    'constant1_op_net_x0' => {
364
      'hdlType' => 'std_logic',
365
      'width' => 1,
366
    },
367
    'constant1_op_net_x1' => {
368
      'hdlType' => 'std_logic',
369
      'width' => 1,
370
    },
371
    'constant1_op_net_x10' => {
372
      'hdlType' => 'std_logic',
373
      'width' => 1,
374
    },
375
    'constant1_op_net_x11' => {
376
      'hdlType' => 'std_logic',
377
      'width' => 1,
378
    },
379
    'constant1_op_net_x12' => {
380
      'hdlType' => 'std_logic',
381
      'width' => 1,
382
    },
383
    'constant1_op_net_x13' => {
384
      'hdlType' => 'std_logic',
385
      'width' => 1,
386
    },
387
    'constant1_op_net_x2' => {
388
      'hdlType' => 'std_logic',
389
      'width' => 1,
390
    },
391
    'constant1_op_net_x3' => {
392
      'hdlType' => 'std_logic',
393
      'width' => 1,
394
    },
395
    'constant1_op_net_x4' => {
396
      'hdlType' => 'std_logic',
397
      'width' => 1,
398
    },
399
    'constant1_op_net_x5' => {
400
      'hdlType' => 'std_logic',
401
      'width' => 1,
402
    },
403
    'constant1_op_net_x6' => {
404
      'hdlType' => 'std_logic',
405
      'width' => 1,
406
    },
407
    'constant1_op_net_x7' => {
408
      'hdlType' => 'std_logic',
409
      'width' => 1,
410
    },
411
    'constant1_op_net_x8' => {
412
      'hdlType' => 'std_logic',
413
      'width' => 1,
414
    },
415
    'constant1_op_net_x9' => {
416
      'hdlType' => 'std_logic',
417
      'width' => 1,
418
    },
419
    'constant5_op_net_x0' => {
420
      'hdlType' => 'std_logic',
421
      'width' => 1,
422
    },
423
    'constant5_op_net_x1' => {
424
      'hdlType' => 'std_logic',
425
      'width' => 1,
426
    },
427
    'constant5_op_net_x10' => {
428
      'hdlType' => 'std_logic',
429
      'width' => 1,
430
    },
431
    'constant5_op_net_x11' => {
432
      'hdlType' => 'std_logic',
433
      'width' => 1,
434
    },
435
    'constant5_op_net_x12' => {
436
      'hdlType' => 'std_logic',
437
      'width' => 1,
438
    },
439
    'constant5_op_net_x13' => {
440
      'hdlType' => 'std_logic',
441
      'width' => 1,
442
    },
443
    'constant5_op_net_x14' => {
444
      'hdlType' => 'std_logic',
445
      'width' => 1,
446
    },
447
    'constant5_op_net_x15' => {
448
      'hdlType' => 'std_logic',
449
      'width' => 1,
450
    },
451
    'constant5_op_net_x16' => {
452
      'hdlType' => 'std_logic',
453
      'width' => 1,
454
    },
455
    'constant5_op_net_x17' => {
456
      'hdlType' => 'std_logic',
457
      'width' => 1,
458
    },
459
    'constant5_op_net_x18' => {
460
      'hdlType' => 'std_logic',
461
      'width' => 1,
462
    },
463
    'constant5_op_net_x19' => {
464
      'hdlType' => 'std_logic',
465
      'width' => 1,
466
    },
467
    'constant5_op_net_x2' => {
468
      'hdlType' => 'std_logic',
469
      'width' => 1,
470
    },
471
    'constant5_op_net_x3' => {
472
      'hdlType' => 'std_logic',
473
      'width' => 1,
474
    },
475
    'constant5_op_net_x4' => {
476
      'hdlType' => 'std_logic',
477
      'width' => 1,
478
    },
479
    'constant5_op_net_x5' => {
480
      'hdlType' => 'std_logic',
481
      'width' => 1,
482
    },
483
    'constant5_op_net_x6' => {
484
      'hdlType' => 'std_logic',
485
      'width' => 1,
486
    },
487
    'constant5_op_net_x7' => {
488
      'hdlType' => 'std_logic',
489
      'width' => 1,
490
    },
491
    'constant5_op_net_x8' => {
492
      'hdlType' => 'std_logic',
493
      'width' => 1,
494
    },
495
    'constant5_op_net_x9' => {
496
      'hdlType' => 'std_logic',
497
      'width' => 1,
498
    },
499
    'debug_in_1i_net' => {
500
      'hdlType' => 'std_logic_vector(31 downto 0)',
501
      'width' => 32,
502
    },
503
    'debug_in_1i_net_x0' => {
504
      'hdlType' => 'std_logic_vector(31 downto 0)',
505
      'width' => 32,
506
    },
507
    'debug_in_2i_net' => {
508
      'hdlType' => 'std_logic_vector(31 downto 0)',
509
      'width' => 32,
510
    },
511
    'debug_in_2i_net_x0' => {
512
      'hdlType' => 'std_logic_vector(31 downto 0)',
513
      'width' => 32,
514
    },
515
    'debug_in_3i_net' => {
516
      'hdlType' => 'std_logic_vector(31 downto 0)',
517
      'width' => 32,
518
    },
519
    'debug_in_3i_net_x0' => {
520
      'hdlType' => 'std_logic_vector(31 downto 0)',
521
      'width' => 32,
522
    },
523
    'debug_in_4i_net' => {
524
      'hdlType' => 'std_logic_vector(31 downto 0)',
525
      'width' => 32,
526
    },
527
    'debug_in_4i_net_x0' => {
528
      'hdlType' => 'std_logic_vector(31 downto 0)',
529
      'width' => 32,
530
    },
531
    'dma_host2board_busy_net' => {
532
      'hdlType' => 'std_logic',
533
      'width' => 1,
534
    },
535
    'dma_host2board_busy_net_x0' => {
536
      'hdlType' => 'std_logic',
537
      'width' => 1,
538
    },
539
    'dma_host2board_done_net' => {
540
      'hdlType' => 'std_logic',
541
      'width' => 1,
542
    },
543
    'dma_host2board_done_net_x0' => {
544
      'hdlType' => 'std_logic',
545
      'width' => 1,
546
    },
547
    'from_register10_data_out_net' => {
548
      'hdlType' => 'std_logic_vector(31 downto 0)',
549
      'width' => 32,
550
    },
551
    'from_register10_data_out_net_x0' => {
552
      'hdlType' => 'std_logic_vector(31 downto 0)',
553
      'width' => 32,
554
    },
555
    'from_register11_data_out_net' => {
556
      'hdlType' => 'std_logic_vector(31 downto 0)',
557
      'width' => 32,
558
    },
559
    'from_register11_data_out_net_x0' => {
560
      'hdlType' => 'std_logic_vector(31 downto 0)',
561
      'width' => 32,
562
    },
563
    'from_register12_data_out_net' => {
564
      'hdlType' => 'std_logic',
565
      'width' => 1,
566
    },
567
    'from_register12_data_out_net_x0' => {
568
      'hdlType' => 'std_logic',
569
      'width' => 1,
570
    },
571
    'from_register13_data_out_net' => {
572
      'hdlType' => 'std_logic_vector(31 downto 0)',
573
      'width' => 32,
574
    },
575
    'from_register13_data_out_net_x0' => {
576
      'hdlType' => 'std_logic_vector(31 downto 0)',
577
      'width' => 32,
578
    },
579
    'from_register14_data_out_net' => {
580
      'hdlType' => 'std_logic',
581
      'width' => 1,
582
    },
583
    'from_register14_data_out_net_x0' => {
584
      'hdlType' => 'std_logic',
585
      'width' => 1,
586
    },
587
    'from_register15_data_out_net' => {
588
      'hdlType' => 'std_logic_vector(31 downto 0)',
589
      'width' => 32,
590
    },
591
    'from_register15_data_out_net_x0' => {
592
      'hdlType' => 'std_logic_vector(31 downto 0)',
593
      'width' => 32,
594
    },
595
    'from_register16_data_out_net' => {
596
      'hdlType' => 'std_logic',
597
      'width' => 1,
598
    },
599
    'from_register16_data_out_net_x0' => {
600
      'hdlType' => 'std_logic',
601
      'width' => 1,
602
    },
603
    'from_register17_data_out_net' => {
604
      'hdlType' => 'std_logic_vector(31 downto 0)',
605
      'width' => 32,
606
    },
607
    'from_register17_data_out_net_x0' => {
608
      'hdlType' => 'std_logic_vector(31 downto 0)',
609
      'width' => 32,
610
    },
611
    'from_register18_data_out_net' => {
612
      'hdlType' => 'std_logic',
613
      'width' => 1,
614
    },
615
    'from_register18_data_out_net_x0' => {
616
      'hdlType' => 'std_logic',
617
      'width' => 1,
618
    },
619
    'from_register19_data_out_net' => {
620
      'hdlType' => 'std_logic_vector(31 downto 0)',
621
      'width' => 32,
622
    },
623
    'from_register19_data_out_net_x0' => {
624
      'hdlType' => 'std_logic_vector(31 downto 0)',
625
      'width' => 32,
626
    },
627
    'from_register1_data_out_net' => {
628
      'hdlType' => 'std_logic',
629
      'width' => 1,
630
    },
631
    'from_register1_data_out_net_x0' => {
632
      'hdlType' => 'std_logic',
633
      'width' => 1,
634
    },
635
    'from_register20_data_out_net' => {
636
      'hdlType' => 'std_logic',
637
      'width' => 1,
638
    },
639
    'from_register20_data_out_net_x0' => {
640
      'hdlType' => 'std_logic',
641
      'width' => 1,
642
    },
643
    'from_register21_data_out_net' => {
644
      'hdlType' => 'std_logic_vector(31 downto 0)',
645
      'width' => 32,
646
    },
647
    'from_register21_data_out_net_x0' => {
648
      'hdlType' => 'std_logic_vector(31 downto 0)',
649
      'width' => 32,
650
    },
651
    'from_register22_data_out_net' => {
652
      'hdlType' => 'std_logic',
653
      'width' => 1,
654
    },
655
    'from_register22_data_out_net_x0' => {
656
      'hdlType' => 'std_logic',
657
      'width' => 1,
658
    },
659
    'from_register23_data_out_net' => {
660
      'hdlType' => 'std_logic_vector(31 downto 0)',
661
      'width' => 32,
662
    },
663
    'from_register23_data_out_net_x0' => {
664
      'hdlType' => 'std_logic_vector(31 downto 0)',
665
      'width' => 32,
666
    },
667
    'from_register24_data_out_net' => {
668
      'hdlType' => 'std_logic',
669
      'width' => 1,
670
    },
671
    'from_register24_data_out_net_x0' => {
672
      'hdlType' => 'std_logic',
673
      'width' => 1,
674
    },
675
    'from_register25_data_out_net' => {
676
      'hdlType' => 'std_logic_vector(31 downto 0)',
677
      'width' => 32,
678
    },
679
    'from_register25_data_out_net_x0' => {
680
      'hdlType' => 'std_logic_vector(31 downto 0)',
681
      'width' => 32,
682
    },
683
    'from_register26_data_out_net' => {
684
      'hdlType' => 'std_logic',
685
      'width' => 1,
686
    },
687
    'from_register26_data_out_net_x0' => {
688
      'hdlType' => 'std_logic',
689
      'width' => 1,
690
    },
691
    'from_register27_data_out_net' => {
692
      'hdlType' => 'std_logic_vector(31 downto 0)',
693
      'width' => 32,
694
    },
695
    'from_register27_data_out_net_x0' => {
696
      'hdlType' => 'std_logic_vector(31 downto 0)',
697
      'width' => 32,
698
    },
699
    'from_register28_data_out_net' => {
700
      'hdlType' => 'std_logic',
701
      'width' => 1,
702
    },
703
    'from_register28_data_out_net_x0' => {
704
      'hdlType' => 'std_logic',
705
      'width' => 1,
706
    },
707
    'from_register2_data_out_net' => {
708
      'hdlType' => 'std_logic',
709
      'width' => 1,
710
    },
711
    'from_register2_data_out_net_x0' => {
712
      'hdlType' => 'std_logic',
713
      'width' => 1,
714
    },
715
    'from_register3_data_out_net' => {
716
      'hdlType' => 'std_logic_vector(31 downto 0)',
717
      'width' => 32,
718
    },
719
    'from_register3_data_out_net_x0' => {
720
      'hdlType' => 'std_logic_vector(31 downto 0)',
721
      'width' => 32,
722
    },
723
    'from_register4_data_out_net' => {
724
      'hdlType' => 'std_logic',
725
      'width' => 1,
726
    },
727
    'from_register4_data_out_net_x0' => {
728
      'hdlType' => 'std_logic',
729
      'width' => 1,
730
    },
731
    'from_register5_data_out_net' => {
732
      'hdlType' => 'std_logic_vector(31 downto 0)',
733
      'width' => 32,
734
    },
735
    'from_register5_data_out_net_x0' => {
736
      'hdlType' => 'std_logic_vector(31 downto 0)',
737
      'width' => 32,
738
    },
739
    'from_register6_data_out_net' => {
740
      'hdlType' => 'std_logic',
741
      'width' => 1,
742
    },
743
    'from_register6_data_out_net_x0' => {
744
      'hdlType' => 'std_logic',
745
      'width' => 1,
746
    },
747
    'from_register7_data_out_net' => {
748
      'hdlType' => 'std_logic_vector(31 downto 0)',
749
      'width' => 32,
750
    },
751
    'from_register7_data_out_net_x0' => {
752
      'hdlType' => 'std_logic_vector(31 downto 0)',
753
      'width' => 32,
754
    },
755
    'from_register8_data_out_net' => {
756
      'hdlType' => 'std_logic_vector(31 downto 0)',
757
      'width' => 32,
758
    },
759
    'from_register8_data_out_net_x0' => {
760
      'hdlType' => 'std_logic_vector(31 downto 0)',
761
      'width' => 32,
762
    },
763
    'from_register9_data_out_net' => {
764
      'hdlType' => 'std_logic',
765
      'width' => 1,
766
    },
767
    'from_register9_data_out_net_x0' => {
768
      'hdlType' => 'std_logic',
769
      'width' => 1,
770
    },
771
    'reg01_td_net' => {
772
      'hdlType' => 'std_logic_vector(31 downto 0)',
773
      'width' => 32,
774
    },
775
    'reg01_td_net_x0' => {
776
      'hdlType' => 'std_logic_vector(31 downto 0)',
777
      'width' => 32,
778
    },
779
    'reg01_tv_net' => {
780
      'hdlType' => 'std_logic',
781
      'width' => 1,
782
    },
783
    'reg01_tv_net_x0' => {
784
      'hdlType' => 'std_logic',
785
      'width' => 1,
786
    },
787
    'reg02_td_net' => {
788
      'hdlType' => 'std_logic_vector(31 downto 0)',
789
      'width' => 32,
790
    },
791
    'reg02_td_net_x0' => {
792
      'hdlType' => 'std_logic_vector(31 downto 0)',
793
      'width' => 32,
794
    },
795
    'reg02_tv_net' => {
796
      'hdlType' => 'std_logic',
797
      'width' => 1,
798
    },
799
    'reg02_tv_net_x0' => {
800
      'hdlType' => 'std_logic',
801
      'width' => 1,
802
    },
803
    'reg03_td_net' => {
804
      'hdlType' => 'std_logic_vector(31 downto 0)',
805
      'width' => 32,
806
    },
807
    'reg03_td_net_x0' => {
808
      'hdlType' => 'std_logic_vector(31 downto 0)',
809
      'width' => 32,
810
    },
811
    'reg03_tv_net' => {
812
      'hdlType' => 'std_logic',
813
      'width' => 1,
814
    },
815
    'reg03_tv_net_x0' => {
816
      'hdlType' => 'std_logic',
817
      'width' => 1,
818
    },
819
    'reg04_td_net' => {
820
      'hdlType' => 'std_logic_vector(31 downto 0)',
821
      'width' => 32,
822
    },
823
    'reg04_td_net_x0' => {
824
      'hdlType' => 'std_logic_vector(31 downto 0)',
825
      'width' => 32,
826
    },
827
    'reg04_tv_net' => {
828
      'hdlType' => 'std_logic',
829
      'width' => 1,
830
    },
831
    'reg04_tv_net_x0' => {
832
      'hdlType' => 'std_logic',
833
      'width' => 1,
834
    },
835
    'reg05_td_net' => {
836
      'hdlType' => 'std_logic_vector(31 downto 0)',
837
      'width' => 32,
838
    },
839
    'reg05_td_net_x0' => {
840
      'hdlType' => 'std_logic_vector(31 downto 0)',
841
      'width' => 32,
842
    },
843
    'reg05_tv_net' => {
844
      'hdlType' => 'std_logic',
845
      'width' => 1,
846
    },
847
    'reg05_tv_net_x0' => {
848
      'hdlType' => 'std_logic',
849
      'width' => 1,
850
    },
851
    'reg06_td_net' => {
852
      'hdlType' => 'std_logic_vector(31 downto 0)',
853
      'width' => 32,
854
    },
855
    'reg06_td_net_x0' => {
856
      'hdlType' => 'std_logic_vector(31 downto 0)',
857
      'width' => 32,
858
    },
859
    'reg06_tv_net' => {
860
      'hdlType' => 'std_logic',
861
      'width' => 1,
862
    },
863
    'reg06_tv_net_x0' => {
864
      'hdlType' => 'std_logic',
865
      'width' => 1,
866
    },
867
    'reg07_td_net' => {
868
      'hdlType' => 'std_logic_vector(31 downto 0)',
869
      'width' => 32,
870
    },
871
    'reg07_td_net_x0' => {
872
      'hdlType' => 'std_logic_vector(31 downto 0)',
873
      'width' => 32,
874
    },
875
    'reg07_tv_net' => {
876
      'hdlType' => 'std_logic',
877
      'width' => 1,
878
    },
879
    'reg07_tv_net_x0' => {
880
      'hdlType' => 'std_logic',
881
      'width' => 1,
882
    },
883
    'reg08_td_net' => {
884
      'hdlType' => 'std_logic_vector(31 downto 0)',
885
      'width' => 32,
886
    },
887
    'reg08_td_net_x0' => {
888
      'hdlType' => 'std_logic_vector(31 downto 0)',
889
      'width' => 32,
890
    },
891
    'reg08_tv_net' => {
892
      'hdlType' => 'std_logic',
893
      'width' => 1,
894
    },
895
    'reg08_tv_net_x0' => {
896
      'hdlType' => 'std_logic',
897
      'width' => 1,
898
    },
899
    'reg09_td_net' => {
900
      'hdlType' => 'std_logic_vector(31 downto 0)',
901
      'width' => 32,
902
    },
903
    'reg09_td_net_x0' => {
904
      'hdlType' => 'std_logic_vector(31 downto 0)',
905
      'width' => 32,
906
    },
907
    'reg09_tv_net' => {
908
      'hdlType' => 'std_logic',
909
      'width' => 1,
910
    },
911
    'reg09_tv_net_x0' => {
912
      'hdlType' => 'std_logic',
913
      'width' => 1,
914
    },
915
    'reg10_td_net' => {
916
      'hdlType' => 'std_logic_vector(31 downto 0)',
917
      'width' => 32,
918
    },
919
    'reg10_td_net_x0' => {
920
      'hdlType' => 'std_logic_vector(31 downto 0)',
921
      'width' => 32,
922
    },
923
    'reg10_tv_net' => {
924
      'hdlType' => 'std_logic',
925
      'width' => 1,
926
    },
927
    'reg10_tv_net_x0' => {
928
      'hdlType' => 'std_logic',
929
      'width' => 1,
930
    },
931
    'reg11_td_net' => {
932
      'hdlType' => 'std_logic_vector(31 downto 0)',
933
      'width' => 32,
934
    },
935
    'reg11_td_net_x0' => {
936
      'hdlType' => 'std_logic_vector(31 downto 0)',
937
      'width' => 32,
938
    },
939
    'reg11_tv_net' => {
940
      'hdlType' => 'std_logic',
941
      'width' => 1,
942
    },
943
    'reg11_tv_net_x0' => {
944
      'hdlType' => 'std_logic',
945
      'width' => 1,
946
    },
947
    'reg12_td_net' => {
948
      'hdlType' => 'std_logic_vector(31 downto 0)',
949
      'width' => 32,
950
    },
951
    'reg12_td_net_x0' => {
952
      'hdlType' => 'std_logic_vector(31 downto 0)',
953
      'width' => 32,
954
    },
955
    'reg12_tv_net' => {
956
      'hdlType' => 'std_logic',
957
      'width' => 1,
958
    },
959
    'reg12_tv_net_x0' => {
960
      'hdlType' => 'std_logic',
961
      'width' => 1,
962
    },
963
    'reg13_td_net' => {
964
      'hdlType' => 'std_logic_vector(31 downto 0)',
965
      'width' => 32,
966
    },
967
    'reg13_td_net_x0' => {
968
      'hdlType' => 'std_logic_vector(31 downto 0)',
969
      'width' => 32,
970
    },
971
    'reg13_tv_net' => {
972
      'hdlType' => 'std_logic',
973
      'width' => 1,
974
    },
975
    'reg13_tv_net_x0' => {
976
      'hdlType' => 'std_logic',
977
      'width' => 1,
978
    },
979
    'reg14_td_net' => {
980
      'hdlType' => 'std_logic_vector(31 downto 0)',
981
      'width' => 32,
982
    },
983
    'reg14_td_net_x0' => {
984
      'hdlType' => 'std_logic_vector(31 downto 0)',
985
      'width' => 32,
986
    },
987
    'reg14_tv_net' => {
988
      'hdlType' => 'std_logic',
989
      'width' => 1,
990
    },
991
    'reg14_tv_net_x0' => {
992
      'hdlType' => 'std_logic',
993
      'width' => 1,
994
    },
995
    'to_register10_dout_net' => {
996
      'hdlType' => 'std_logic',
997
      'width' => 1,
998
    },
999
    'to_register11_dout_net' => {
1000
      'hdlType' => 'std_logic_vector(31 downto 0)',
1001
      'width' => 32,
1002
    },
1003
    'to_register12_dout_net' => {
1004
      'hdlType' => 'std_logic',
1005
      'width' => 1,
1006
    },
1007
    'to_register13_dout_net' => {
1008
      'hdlType' => 'std_logic_vector(31 downto 0)',
1009
      'width' => 32,
1010
    },
1011
    'to_register14_dout_net' => {
1012
      'hdlType' => 'std_logic',
1013
      'width' => 1,
1014
    },
1015
    'to_register15_dout_net' => {
1016
      'hdlType' => 'std_logic_vector(31 downto 0)',
1017
      'width' => 32,
1018
    },
1019
    'to_register16_dout_net' => {
1020
      'hdlType' => 'std_logic',
1021
      'width' => 1,
1022
    },
1023
    'to_register17_dout_net' => {
1024
      'hdlType' => 'std_logic_vector(31 downto 0)',
1025
      'width' => 32,
1026
    },
1027
    'to_register18_dout_net' => {
1028
      'hdlType' => 'std_logic',
1029
      'width' => 1,
1030
    },
1031
    'to_register19_dout_net' => {
1032
      'hdlType' => 'std_logic',
1033
      'width' => 1,
1034
    },
1035
    'to_register1_dout_net' => {
1036
      'hdlType' => 'std_logic_vector(31 downto 0)',
1037
      'width' => 32,
1038
    },
1039
    'to_register20_dout_net' => {
1040
      'hdlType' => 'std_logic_vector(31 downto 0)',
1041
      'width' => 32,
1042
    },
1043
    'to_register21_dout_net' => {
1044
      'hdlType' => 'std_logic',
1045
      'width' => 1,
1046
    },
1047
    'to_register22_dout_net' => {
1048
      'hdlType' => 'std_logic_vector(31 downto 0)',
1049
      'width' => 32,
1050
    },
1051
    'to_register23_dout_net' => {
1052
      'hdlType' => 'std_logic',
1053
      'width' => 1,
1054
    },
1055
    'to_register24_dout_net' => {
1056
      'hdlType' => 'std_logic_vector(31 downto 0)',
1057
      'width' => 32,
1058
    },
1059
    'to_register25_dout_net' => {
1060
      'hdlType' => 'std_logic',
1061
      'width' => 1,
1062
    },
1063
    'to_register26_dout_net' => {
1064
      'hdlType' => 'std_logic_vector(31 downto 0)',
1065
      'width' => 32,
1066
    },
1067
    'to_register27_dout_net' => {
1068
      'hdlType' => 'std_logic',
1069
      'width' => 1,
1070
    },
1071
    'to_register28_dout_net' => {
1072
      'hdlType' => 'std_logic_vector(31 downto 0)',
1073
      'width' => 32,
1074
    },
1075
    'to_register29_dout_net' => {
1076
      'hdlType' => 'std_logic',
1077
      'width' => 1,
1078
    },
1079
    'to_register2_dout_net' => {
1080
      'hdlType' => 'std_logic_vector(31 downto 0)',
1081
      'width' => 32,
1082
    },
1083
    'to_register30_dout_net' => {
1084
      'hdlType' => 'std_logic_vector(31 downto 0)',
1085
      'width' => 32,
1086
    },
1087
    'to_register31_dout_net' => {
1088
      'hdlType' => 'std_logic',
1089
      'width' => 1,
1090
    },
1091
    'to_register32_dout_net' => {
1092
      'hdlType' => 'std_logic_vector(31 downto 0)',
1093
      'width' => 32,
1094
    },
1095
    'to_register33_dout_net' => {
1096
      'hdlType' => 'std_logic',
1097
      'width' => 1,
1098
    },
1099
    'to_register34_dout_net' => {
1100
      'hdlType' => 'std_logic_vector(31 downto 0)',
1101
      'width' => 32,
1102
    },
1103
    'to_register3_dout_net' => {
1104
      'hdlType' => 'std_logic',
1105
      'width' => 1,
1106
    },
1107
    'to_register4_dout_net' => {
1108
      'hdlType' => 'std_logic',
1109
      'width' => 1,
1110
    },
1111
    'to_register5_dout_net' => {
1112
      'hdlType' => 'std_logic_vector(31 downto 0)',
1113
      'width' => 32,
1114
    },
1115
    'to_register6_dout_net' => {
1116
      'hdlType' => 'std_logic_vector(31 downto 0)',
1117
      'width' => 32,
1118
    },
1119
    'to_register7_dout_net' => {
1120
      'hdlType' => 'std_logic_vector(31 downto 0)',
1121
      'width' => 32,
1122
    },
1123
    'to_register8_dout_net' => {
1124
      'hdlType' => 'std_logic',
1125
      'width' => 1,
1126
    },
1127
    'to_register9_dout_net' => {
1128
      'hdlType' => 'std_logic_vector(31 downto 0)',
1129
      'width' => 32,
1130
    },
1131
  },
1132
  'subblocks' => {
1133
    'debug_in_1i' => {
1134
      'connections' => {
1135
        'debug_in_1i' => 'debug_in_1i_net',
1136
      },
1137
      'entity' => {
1138
        'attributes' => {
1139
          'isGateway' => 1,
1140
          'is_floating_block' => 1,
1141
        },
1142
        'entityName' => 'debug_in_1i',
1143
        'ports' => {
1144
          'debug_in_1i' => {
1145
            'attributes' => {
1146
              'bin_pt' => 0,
1147
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
1148
              'is_floating_block' => 1,
1149
              'is_gateway_port' => 1,
1150
              'must_be_hdl_vector' => 1,
1151
              'period' => 1,
1152
              'port_id' => 0,
1153
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i/debug_in_1i',
1154
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i',
1155
              'timingConstraint' => 'none',
1156
              'type' => 'UFix_32_0',
1157
            },
1158
            'direction' => 'out',
1159
            'hdlType' => 'std_logic_vector(31 downto 0)',
1160
            'width' => 32,
1161
          },
1162
        },
1163
      },
1164
      'entityName' => 'debug_in_1i',
1165
    },
1166
    'debug_in_2i' => {
1167
      'connections' => {
1168
        'debug_in_2i' => 'debug_in_2i_net',
1169
      },
1170
      'entity' => {
1171
        'attributes' => {
1172
          'isGateway' => 1,
1173
          'is_floating_block' => 1,
1174
        },
1175
        'entityName' => 'debug_in_2i',
1176
        'ports' => {
1177
          'debug_in_2i' => {
1178
            'attributes' => {
1179
              'bin_pt' => 0,
1180
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
1181
              'is_floating_block' => 1,
1182
              'is_gateway_port' => 1,
1183
              'must_be_hdl_vector' => 1,
1184
              'period' => 1,
1185
              'port_id' => 0,
1186
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i/debug_in_2i',
1187
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i',
1188
              'timingConstraint' => 'none',
1189
              'type' => 'UFix_32_0',
1190
            },
1191
            'direction' => 'out',
1192
            'hdlType' => 'std_logic_vector(31 downto 0)',
1193
            'width' => 32,
1194
          },
1195
        },
1196
      },
1197
      'entityName' => 'debug_in_2i',
1198
    },
1199
    'debug_in_3i' => {
1200
      'connections' => {
1201
        'debug_in_3i' => 'debug_in_3i_net',
1202
      },
1203
      'entity' => {
1204
        'attributes' => {
1205
          'isGateway' => 1,
1206
          'is_floating_block' => 1,
1207
        },
1208
        'entityName' => 'debug_in_3i',
1209
        'ports' => {
1210
          'debug_in_3i' => {
1211
            'attributes' => {
1212
              'bin_pt' => 0,
1213
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
1214
              'is_floating_block' => 1,
1215
              'is_gateway_port' => 1,
1216
              'must_be_hdl_vector' => 1,
1217
              'period' => 1,
1218
              'port_id' => 0,
1219
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i/debug_in_3i',
1220
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i',
1221
              'timingConstraint' => 'none',
1222
              'type' => 'UFix_32_0',
1223
            },
1224
            'direction' => 'out',
1225
            'hdlType' => 'std_logic_vector(31 downto 0)',
1226
            'width' => 32,
1227
          },
1228
        },
1229
      },
1230
      'entityName' => 'debug_in_3i',
1231
    },
1232
    'debug_in_4i' => {
1233
      'connections' => {
1234
        'debug_in_4i' => 'debug_in_4i_net',
1235
      },
1236
      'entity' => {
1237
        'attributes' => {
1238
          'isGateway' => 1,
1239
          'is_floating_block' => 1,
1240
        },
1241
        'entityName' => 'debug_in_4i',
1242
        'ports' => {
1243
          'debug_in_4i' => {
1244
            'attributes' => {
1245
              'bin_pt' => 0,
1246
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
1247
              'is_floating_block' => 1,
1248
              'is_gateway_port' => 1,
1249
              'must_be_hdl_vector' => 1,
1250
              'period' => 1,
1251
              'port_id' => 0,
1252
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i/debug_in_4i',
1253
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i',
1254
              'timingConstraint' => 'none',
1255
              'type' => 'UFix_32_0',
1256
            },
1257
            'direction' => 'out',
1258
            'hdlType' => 'std_logic_vector(31 downto 0)',
1259
            'width' => 32,
1260
          },
1261
        },
1262
      },
1263
      'entityName' => 'debug_in_4i',
1264
    },
1265
    'default_clock_driver' => {
1266
      'connections' => {
1267
        'ce_1' => 'ce_1_sg',
1268
        'clk_1' => 'clk_1_sg',
1269
      },
1270
      'entity' => {
1271
        'attributes' => {
1272
          'domain' => 'default',
1273
          'isClkDriver' => 1,
1274
        },
1275
        'entityName' => 'default_clock_driver',
1276
        'ports' => {
1277
          'ce_1' => {
1278
            'attributes' => {
1279
              'domain' => 'default',
1280
              'group' => 1,
1281
              'isCe' => 1,
1282
              'period' => 1,
1283
              'type' => 'logic',
1284
            },
1285
            'direction' => 'out',
1286
            'hdlType' => 'std_logic',
1287
            'width' => 1,
1288
          },
1289
          'clk_1' => {
1290
            'attributes' => {
1291
              'domain' => 'default',
1292
              'group' => 1,
1293
              'isClk' => 1,
1294
              'period' => 1,
1295
              'type' => 'logic',
1296
            },
1297
            'direction' => 'out',
1298
            'hdlType' => 'std_logic',
1299
            'width' => 1,
1300
          },
1301
        },
1302
      },
1303
      'entityName' => 'default_clock_driver',
1304
    },
1305
    'dma_host2board_busy' => {
1306
      'connections' => {
1307
        'dma_host2board_busy' => 'dma_host2board_busy_net',
1308
      },
1309
      'entity' => {
1310
        'attributes' => {
1311
          'isGateway' => 1,
1312
          'is_floating_block' => 1,
1313
        },
1314
        'entityName' => 'dma_host2board_busy',
1315
        'ports' => {
1316
          'dma_host2board_busy' => {
1317
            'attributes' => {
1318
              'bin_pt' => 0,
1319
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
1320
              'is_floating_block' => 1,
1321
              'is_gateway_port' => 1,
1322
              'must_be_hdl_vector' => 1,
1323
              'period' => 1,
1324
              'port_id' => 0,
1325
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy/DMA_Host2Board_Busy',
1326
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy',
1327
              'timingConstraint' => 'none',
1328
              'type' => 'UFix_1_0',
1329
            },
1330
            'direction' => 'out',
1331
            'hdlType' => 'std_logic',
1332
            'width' => 1,
1333
          },
1334
        },
1335
      },
1336
      'entityName' => 'dma_host2board_busy',
1337
    },
1338
    'dma_host2board_done' => {
1339
      'connections' => {
1340
        'dma_host2board_done' => 'dma_host2board_done_net',
1341
      },
1342
      'entity' => {
1343
        'attributes' => {
1344
          'isGateway' => 1,
1345
          'is_floating_block' => 1,
1346
        },
1347
        'entityName' => 'dma_host2board_done',
1348
        'ports' => {
1349
          'dma_host2board_done' => {
1350
            'attributes' => {
1351
              'bin_pt' => 0,
1352
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
1353
              'is_floating_block' => 1,
1354
              'is_gateway_port' => 1,
1355
              'must_be_hdl_vector' => 1,
1356
              'period' => 1,
1357
              'port_id' => 0,
1358
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done/DMA_Host2Board_Done',
1359
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done',
1360
              'timingConstraint' => 'none',
1361
              'type' => 'UFix_1_0',
1362
            },
1363
            'direction' => 'out',
1364
            'hdlType' => 'std_logic',
1365
            'width' => 1,
1366
          },
1367
        },
1368
      },
1369
      'entityName' => 'dma_host2board_done',
1370
    },
1371
    'from_register1' => {
1372
      'connections' => {
1373
        'data_out' => 'from_register1_data_out_net',
1374
      },
1375
      'entity' => {
1376
        'attributes' => {
1377
          'generics' => [
1378
          ],
1379
          'is_floating_block' => 1,
1380
          'mask' => {
1381
            'Block_Handle' => 10.0009765625,
1382
            'Block_handle' => 10.0009765625,
1383
            'MDL_Handle' => 3.0009765625,
1384
            'MDL_handle' => 3.0009765625,
1385
            'arith_type' => 2,
1386
            'bin_pt' => 0,
1387
            'block_config' => 'sysgen_blockset:fromreg_config',
1388
            'block_handle' => 10.0009765625,
1389
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1390
            'block_type' => 'fromreg',
1391
            'dbl_ovrd' => 0,
1392
            'init' => 0,
1393
            'init_bit_vector' => '0b',
1394
            'mdl_handle' => 3.0009765625,
1395
            'model_handle' => 3.0009765625,
1396
            'n_bits' => 1,
1397
            'ownership' => 2,
1398
            'period' => '8e-009',
1399
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1400
            'shared_memory_name' => 'register01rv',
1401
          },
1402
          'needs_vhdl_wrapper' => 0,
1403
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1404
        },
1405
        'entityName' => 'x_x61',
1406
        'ports' => {
1407
          'data_out' => {
1408
            'attributes' => {
1409
              'bin_pt' => 0,
1410
              'is_floating_block' => 1,
1411
              'must_be_hdl_vector' => 1,
1412
              'period' => 1,
1413
              'port_id' => 0,
1414
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1/data_out',
1415
              'type' => 'UFix_1_0',
1416
            },
1417
            'direction' => 'out',
1418
            'hdlType' => 'std_logic_vector(0 downto 0)',
1419
            'width' => 1,
1420
          },
1421
        },
1422
      },
1423
      'entityName' => 'x_x61',
1424
    },
1425
    'from_register10' => {
1426
      'connections' => {
1427
        'data_out' => 'from_register10_data_out_net',
1428
      },
1429
      'entity' => {
1430
        'attributes' => {
1431
          'generics' => [
1432
          ],
1433
          'is_floating_block' => 1,
1434
          'mask' => {
1435
            'Block_Handle' => 11.0009765625,
1436
            'Block_handle' => 11.0009765625,
1437
            'MDL_Handle' => 3.0009765625,
1438
            'MDL_handle' => 3.0009765625,
1439
            'arith_type' => 2,
1440
            'bin_pt' => 0,
1441
            'block_config' => 'sysgen_blockset:fromreg_config',
1442
            'block_handle' => 11.0009765625,
1443
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1444
            'block_type' => 'fromreg',
1445
            'dbl_ovrd' => 0,
1446
            'init' => 0,
1447
            'init_bit_vector' => '00000000000000000000000000000000b',
1448
            'mdl_handle' => 3.0009765625,
1449
            'model_handle' => 3.0009765625,
1450
            'n_bits' => 32,
1451
            'ownership' => 2,
1452
            'period' => '8e-009',
1453
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1454
            'shared_memory_name' => 'register05rd',
1455
          },
1456
          'needs_vhdl_wrapper' => 0,
1457
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1458
        },
1459
        'entityName' => 'x_x62',
1460
        'ports' => {
1461
          'data_out' => {
1462
            'attributes' => {
1463
              'bin_pt' => 0,
1464
              'is_floating_block' => 1,
1465
              'must_be_hdl_vector' => 1,
1466
              'period' => 1,
1467
              'port_id' => 0,
1468
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10/data_out',
1469
              'type' => 'UFix_32_0',
1470
            },
1471
            'direction' => 'out',
1472
            'hdlType' => 'std_logic_vector(31 downto 0)',
1473
            'width' => 32,
1474
          },
1475
        },
1476
      },
1477
      'entityName' => 'x_x62',
1478
    },
1479
    'from_register11' => {
1480
      'connections' => {
1481
        'data_out' => 'from_register11_data_out_net',
1482
      },
1483
      'entity' => {
1484
        'attributes' => {
1485
          'generics' => [
1486
          ],
1487
          'is_floating_block' => 1,
1488
          'mask' => {
1489
            'Block_Handle' => 12.0009765625,
1490
            'Block_handle' => 12.0009765625,
1491
            'MDL_Handle' => 3.0009765625,
1492
            'MDL_handle' => 3.0009765625,
1493
            'arith_type' => 2,
1494
            'bin_pt' => 0,
1495
            'block_config' => 'sysgen_blockset:fromreg_config',
1496
            'block_handle' => 12.0009765625,
1497
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1498
            'block_type' => 'fromreg',
1499
            'dbl_ovrd' => 0,
1500
            'init' => 0,
1501
            'init_bit_vector' => '00000000000000000000000000000000b',
1502
            'mdl_handle' => 3.0009765625,
1503
            'model_handle' => 3.0009765625,
1504
            'n_bits' => 32,
1505
            'ownership' => 2,
1506
            'period' => '8e-009',
1507
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1508
            'shared_memory_name' => 'register06rd',
1509
          },
1510
          'needs_vhdl_wrapper' => 0,
1511
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1512
        },
1513
        'entityName' => 'x_x63',
1514
        'ports' => {
1515
          'data_out' => {
1516
            'attributes' => {
1517
              'bin_pt' => 0,
1518
              'is_floating_block' => 1,
1519
              'must_be_hdl_vector' => 1,
1520
              'period' => 1,
1521
              'port_id' => 0,
1522
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11/data_out',
1523
              'type' => 'UFix_32_0',
1524
            },
1525
            'direction' => 'out',
1526
            'hdlType' => 'std_logic_vector(31 downto 0)',
1527
            'width' => 32,
1528
          },
1529
        },
1530
      },
1531
      'entityName' => 'x_x63',
1532
    },
1533
    'from_register12' => {
1534
      'connections' => {
1535
        'data_out' => 'from_register12_data_out_net',
1536
      },
1537
      'entity' => {
1538
        'attributes' => {
1539
          'generics' => [
1540
          ],
1541
          'is_floating_block' => 1,
1542
          'mask' => {
1543
            'Block_Handle' => 13.0009765625,
1544
            'Block_handle' => 13.0009765625,
1545
            'MDL_Handle' => 3.0009765625,
1546
            'MDL_handle' => 3.0009765625,
1547
            'arith_type' => 2,
1548
            'bin_pt' => 0,
1549
            'block_config' => 'sysgen_blockset:fromreg_config',
1550
            'block_handle' => 13.0009765625,
1551
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1552
            'block_type' => 'fromreg',
1553
            'dbl_ovrd' => 0,
1554
            'init' => 0,
1555
            'init_bit_vector' => '0b',
1556
            'mdl_handle' => 3.0009765625,
1557
            'model_handle' => 3.0009765625,
1558
            'n_bits' => 1,
1559
            'ownership' => 2,
1560
            'period' => '8e-009',
1561
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1562
            'shared_memory_name' => 'register06rv',
1563
          },
1564
          'needs_vhdl_wrapper' => 0,
1565
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1566
        },
1567
        'entityName' => 'x_x64',
1568
        'ports' => {
1569
          'data_out' => {
1570
            'attributes' => {
1571
              'bin_pt' => 0,
1572
              'is_floating_block' => 1,
1573
              'must_be_hdl_vector' => 1,
1574
              'period' => 1,
1575
              'port_id' => 0,
1576
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12/data_out',
1577
              'type' => 'UFix_1_0',
1578
            },
1579
            'direction' => 'out',
1580
            'hdlType' => 'std_logic_vector(0 downto 0)',
1581
            'width' => 1,
1582
          },
1583
        },
1584
      },
1585
      'entityName' => 'x_x64',
1586
    },
1587
    'from_register13' => {
1588
      'connections' => {
1589
        'data_out' => 'from_register13_data_out_net',
1590
      },
1591
      'entity' => {
1592
        'attributes' => {
1593
          'generics' => [
1594
          ],
1595
          'is_floating_block' => 1,
1596
          'mask' => {
1597
            'Block_Handle' => 14.0009765625,
1598
            'Block_handle' => 14.0009765625,
1599
            'MDL_Handle' => 3.0009765625,
1600
            'MDL_handle' => 3.0009765625,
1601
            'arith_type' => 2,
1602
            'bin_pt' => 0,
1603
            'block_config' => 'sysgen_blockset:fromreg_config',
1604
            'block_handle' => 14.0009765625,
1605
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1606
            'block_type' => 'fromreg',
1607
            'dbl_ovrd' => 0,
1608
            'init' => 0,
1609
            'init_bit_vector' => '00000000000000000000000000000000b',
1610
            'mdl_handle' => 3.0009765625,
1611
            'model_handle' => 3.0009765625,
1612
            'n_bits' => 32,
1613
            'ownership' => 2,
1614
            'period' => '8e-009',
1615
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1616
            'shared_memory_name' => 'register07rd',
1617
          },
1618
          'needs_vhdl_wrapper' => 0,
1619
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
1620
        },
1621
        'entityName' => 'x_x65',
1622
        'ports' => {
1623
          'data_out' => {
1624
            'attributes' => {
1625
              'bin_pt' => 0,
1626
              'is_floating_block' => 1,
1627
              'must_be_hdl_vector' => 1,
1628
              'period' => 1,
1629
              'port_id' => 0,
1630
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13/data_out',
1631
              'type' => 'UFix_32_0',
1632
            },
1633
            'direction' => 'out',
1634
            'hdlType' => 'std_logic_vector(31 downto 0)',
1635
            'width' => 32,
1636
          },
1637
        },
1638
      },
1639
      'entityName' => 'x_x65',
1640
    },
1641
    'from_register14' => {
1642
      'connections' => {
1643
        'data_out' => 'from_register14_data_out_net',
1644
      },
1645
      'entity' => {
1646
        'attributes' => {
1647
          'generics' => [
1648
          ],
1649
          'is_floating_block' => 1,
1650
          'mask' => {
1651
            'Block_Handle' => 15.0009765625,
1652
            'Block_handle' => 15.0009765625,
1653
            'MDL_Handle' => 3.0009765625,
1654
            'MDL_handle' => 3.0009765625,
1655
            'arith_type' => 2,
1656
            'bin_pt' => 0,
1657
            'block_config' => 'sysgen_blockset:fromreg_config',
1658
            'block_handle' => 15.0009765625,
1659
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1660
            'block_type' => 'fromreg',
1661
            'dbl_ovrd' => 0,
1662
            'init' => 0,
1663
            'init_bit_vector' => '0b',
1664
            'mdl_handle' => 3.0009765625,
1665
            'model_handle' => 3.0009765625,
1666
            'n_bits' => 1,
1667
            'ownership' => 2,
1668
            'period' => '8e-009',
1669
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1670
            'shared_memory_name' => 'register07rv',
1671
          },
1672
          'needs_vhdl_wrapper' => 0,
1673
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
1674
        },
1675
        'entityName' => 'x_x66',
1676
        'ports' => {
1677
          'data_out' => {
1678
            'attributes' => {
1679
              'bin_pt' => 0,
1680
              'is_floating_block' => 1,
1681
              'must_be_hdl_vector' => 1,
1682
              'period' => 1,
1683
              'port_id' => 0,
1684
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14/data_out',
1685
              'type' => 'UFix_1_0',
1686
            },
1687
            'direction' => 'out',
1688
            'hdlType' => 'std_logic_vector(0 downto 0)',
1689
            'width' => 1,
1690
          },
1691
        },
1692
      },
1693
      'entityName' => 'x_x66',
1694
    },
1695
    'from_register15' => {
1696
      'connections' => {
1697
        'data_out' => 'from_register15_data_out_net',
1698
      },
1699
      'entity' => {
1700
        'attributes' => {
1701
          'generics' => [
1702
          ],
1703
          'is_floating_block' => 1,
1704
          'mask' => {
1705
            'Block_Handle' => 16.0009765625,
1706
            'Block_handle' => 16.0009765625,
1707
            'MDL_Handle' => 3.0009765625,
1708
            'MDL_handle' => 3.0009765625,
1709
            'arith_type' => 2,
1710
            'bin_pt' => 0,
1711
            'block_config' => 'sysgen_blockset:fromreg_config',
1712
            'block_handle' => 16.0009765625,
1713
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1714
            'block_type' => 'fromreg',
1715
            'dbl_ovrd' => 0,
1716
            'init' => 0,
1717
            'init_bit_vector' => '00000000000000000000000000000000b',
1718
            'mdl_handle' => 3.0009765625,
1719
            'model_handle' => 3.0009765625,
1720
            'n_bits' => 32,
1721
            'ownership' => 2,
1722
            'period' => '8e-009',
1723
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1724
            'shared_memory_name' => 'register08rd',
1725
          },
1726
          'needs_vhdl_wrapper' => 0,
1727
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
1728
        },
1729
        'entityName' => 'x_x67',
1730
        'ports' => {
1731
          'data_out' => {
1732
            'attributes' => {
1733
              'bin_pt' => 0,
1734
              'is_floating_block' => 1,
1735
              'must_be_hdl_vector' => 1,
1736
              'period' => 1,
1737
              'port_id' => 0,
1738
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15/data_out',
1739
              'type' => 'UFix_32_0',
1740
            },
1741
            'direction' => 'out',
1742
            'hdlType' => 'std_logic_vector(31 downto 0)',
1743
            'width' => 32,
1744
          },
1745
        },
1746
      },
1747
      'entityName' => 'x_x67',
1748
    },
1749
    'from_register16' => {
1750
      'connections' => {
1751
        'data_out' => 'from_register16_data_out_net',
1752
      },
1753
      'entity' => {
1754
        'attributes' => {
1755
          'generics' => [
1756
          ],
1757
          'is_floating_block' => 1,
1758
          'mask' => {
1759
            'Block_Handle' => 17.0009765625,
1760
            'Block_handle' => 17.0009765625,
1761
            'MDL_Handle' => 3.0009765625,
1762
            'MDL_handle' => 3.0009765625,
1763
            'arith_type' => 2,
1764
            'bin_pt' => 0,
1765
            'block_config' => 'sysgen_blockset:fromreg_config',
1766
            'block_handle' => 17.0009765625,
1767
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1768
            'block_type' => 'fromreg',
1769
            'dbl_ovrd' => 0,
1770
            'init' => 0,
1771
            'init_bit_vector' => '0b',
1772
            'mdl_handle' => 3.0009765625,
1773
            'model_handle' => 3.0009765625,
1774
            'n_bits' => 1,
1775
            'ownership' => 2,
1776
            'period' => '8e-009',
1777
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1778
            'shared_memory_name' => 'register08rv',
1779
          },
1780
          'needs_vhdl_wrapper' => 0,
1781
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
1782
        },
1783
        'entityName' => 'x_x68',
1784
        'ports' => {
1785
          'data_out' => {
1786
            'attributes' => {
1787
              'bin_pt' => 0,
1788
              'is_floating_block' => 1,
1789
              'must_be_hdl_vector' => 1,
1790
              'period' => 1,
1791
              'port_id' => 0,
1792
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16/data_out',
1793
              'type' => 'UFix_1_0',
1794
            },
1795
            'direction' => 'out',
1796
            'hdlType' => 'std_logic_vector(0 downto 0)',
1797
            'width' => 1,
1798
          },
1799
        },
1800
      },
1801
      'entityName' => 'x_x68',
1802
    },
1803
    'from_register17' => {
1804
      'connections' => {
1805
        'data_out' => 'from_register17_data_out_net',
1806
      },
1807
      'entity' => {
1808
        'attributes' => {
1809
          'generics' => [
1810
          ],
1811
          'is_floating_block' => 1,
1812
          'mask' => {
1813
            'Block_Handle' => 18.0009765625,
1814
            'Block_handle' => 18.0009765625,
1815
            'MDL_Handle' => 3.0009765625,
1816
            'MDL_handle' => 3.0009765625,
1817
            'arith_type' => 2,
1818
            'bin_pt' => 0,
1819
            'block_config' => 'sysgen_blockset:fromreg_config',
1820
            'block_handle' => 18.0009765625,
1821
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1822
            'block_type' => 'fromreg',
1823
            'dbl_ovrd' => 0,
1824
            'init' => 0,
1825
            'init_bit_vector' => '00000000000000000000000000000000b',
1826
            'mdl_handle' => 3.0009765625,
1827
            'model_handle' => 3.0009765625,
1828
            'n_bits' => 32,
1829
            'ownership' => 2,
1830
            'period' => '8e-009',
1831
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1832
            'shared_memory_name' => 'register09rd',
1833
          },
1834
          'needs_vhdl_wrapper' => 0,
1835
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
1836
        },
1837
        'entityName' => 'x_x69',
1838
        'ports' => {
1839
          'data_out' => {
1840
            'attributes' => {
1841
              'bin_pt' => 0,
1842
              'is_floating_block' => 1,
1843
              'must_be_hdl_vector' => 1,
1844
              'period' => 1,
1845
              'port_id' => 0,
1846
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17/data_out',
1847
              'type' => 'UFix_32_0',
1848
            },
1849
            'direction' => 'out',
1850
            'hdlType' => 'std_logic_vector(31 downto 0)',
1851
            'width' => 32,
1852
          },
1853
        },
1854
      },
1855
      'entityName' => 'x_x69',
1856
    },
1857
    'from_register18' => {
1858
      'connections' => {
1859
        'data_out' => 'from_register18_data_out_net',
1860
      },
1861
      'entity' => {
1862
        'attributes' => {
1863
          'generics' => [
1864
          ],
1865
          'is_floating_block' => 1,
1866
          'mask' => {
1867
            'Block_Handle' => 19.0009765625,
1868
            'Block_handle' => 19.0009765625,
1869
            'MDL_Handle' => 3.0009765625,
1870
            'MDL_handle' => 3.0009765625,
1871
            'arith_type' => 2,
1872
            'bin_pt' => 0,
1873
            'block_config' => 'sysgen_blockset:fromreg_config',
1874
            'block_handle' => 19.0009765625,
1875
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1876
            'block_type' => 'fromreg',
1877
            'dbl_ovrd' => 0,
1878
            'init' => 0,
1879
            'init_bit_vector' => '0b',
1880
            'mdl_handle' => 3.0009765625,
1881
            'model_handle' => 3.0009765625,
1882
            'n_bits' => 1,
1883
            'ownership' => 2,
1884
            'period' => '8e-009',
1885
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1886
            'shared_memory_name' => 'register09rv',
1887
          },
1888
          'needs_vhdl_wrapper' => 0,
1889
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
1890
        },
1891
        'entityName' => 'x_x70',
1892
        'ports' => {
1893
          'data_out' => {
1894
            'attributes' => {
1895
              'bin_pt' => 0,
1896
              'is_floating_block' => 1,
1897
              'must_be_hdl_vector' => 1,
1898
              'period' => 1,
1899
              'port_id' => 0,
1900
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18/data_out',
1901
              'type' => 'UFix_1_0',
1902
            },
1903
            'direction' => 'out',
1904
            'hdlType' => 'std_logic_vector(0 downto 0)',
1905
            'width' => 1,
1906
          },
1907
        },
1908
      },
1909
      'entityName' => 'x_x70',
1910
    },
1911
    'from_register19' => {
1912
      'connections' => {
1913
        'data_out' => 'from_register19_data_out_net',
1914
      },
1915
      'entity' => {
1916
        'attributes' => {
1917
          'generics' => [
1918
          ],
1919
          'is_floating_block' => 1,
1920
          'mask' => {
1921
            'Block_Handle' => 20.0009765625,
1922
            'Block_handle' => 20.0009765625,
1923
            'MDL_Handle' => 3.0009765625,
1924
            'MDL_handle' => 3.0009765625,
1925
            'arith_type' => 2,
1926
            'bin_pt' => 0,
1927
            'block_config' => 'sysgen_blockset:fromreg_config',
1928
            'block_handle' => 20.0009765625,
1929
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1930
            'block_type' => 'fromreg',
1931
            'dbl_ovrd' => 0,
1932
            'init' => 0,
1933
            'init_bit_vector' => '00000000000000000000000000000000b',
1934
            'mdl_handle' => 3.0009765625,
1935
            'model_handle' => 3.0009765625,
1936
            'n_bits' => 32,
1937
            'ownership' => 2,
1938
            'period' => '8e-009',
1939
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1940
            'shared_memory_name' => 'register10rd',
1941
          },
1942
          'needs_vhdl_wrapper' => 0,
1943
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
1944
        },
1945
        'entityName' => 'x_x71',
1946
        'ports' => {
1947
          'data_out' => {
1948
            'attributes' => {
1949
              'bin_pt' => 0,
1950
              'is_floating_block' => 1,
1951
              'must_be_hdl_vector' => 1,
1952
              'period' => 1,
1953
              'port_id' => 0,
1954
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19/data_out',
1955
              'type' => 'UFix_32_0',
1956
            },
1957
            'direction' => 'out',
1958
            'hdlType' => 'std_logic_vector(31 downto 0)',
1959
            'width' => 32,
1960
          },
1961
        },
1962
      },
1963
      'entityName' => 'x_x71',
1964
    },
1965
    'from_register2' => {
1966
      'connections' => {
1967
        'data_out' => 'from_register2_data_out_net',
1968
      },
1969
      'entity' => {
1970
        'attributes' => {
1971
          'generics' => [
1972
          ],
1973
          'is_floating_block' => 1,
1974
          'mask' => {
1975
            'Block_Handle' => 21.0009765625,
1976
            'Block_handle' => 21.0009765625,
1977
            'MDL_Handle' => 3.0009765625,
1978
            'MDL_handle' => 3.0009765625,
1979
            'arith_type' => 2,
1980
            'bin_pt' => 0,
1981
            'block_config' => 'sysgen_blockset:fromreg_config',
1982
            'block_handle' => 21.0009765625,
1983
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
1984
            'block_type' => 'fromreg',
1985
            'dbl_ovrd' => 0,
1986
            'init' => 0,
1987
            'init_bit_vector' => '0b',
1988
            'mdl_handle' => 3.0009765625,
1989
            'model_handle' => 3.0009765625,
1990
            'n_bits' => 1,
1991
            'ownership' => 2,
1992
            'period' => '8e-009',
1993
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1994
            'shared_memory_name' => 'register02rv',
1995
          },
1996
          'needs_vhdl_wrapper' => 0,
1997
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
1998
        },
1999
        'entityName' => 'x_x72',
2000
        'ports' => {
2001
          'data_out' => {
2002
            'attributes' => {
2003
              'bin_pt' => 0,
2004
              'is_floating_block' => 1,
2005
              'must_be_hdl_vector' => 1,
2006
              'period' => 1,
2007
              'port_id' => 0,
2008
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2/data_out',
2009
              'type' => 'UFix_1_0',
2010
            },
2011
            'direction' => 'out',
2012
            'hdlType' => 'std_logic_vector(0 downto 0)',
2013
            'width' => 1,
2014
          },
2015
        },
2016
      },
2017
      'entityName' => 'x_x72',
2018
    },
2019
    'from_register20' => {
2020
      'connections' => {
2021
        'data_out' => 'from_register20_data_out_net',
2022
      },
2023
      'entity' => {
2024
        'attributes' => {
2025
          'generics' => [
2026
          ],
2027
          'is_floating_block' => 1,
2028
          'mask' => {
2029
            'Block_Handle' => 22.0009765625,
2030
            'Block_handle' => 22.0009765625,
2031
            'MDL_Handle' => 3.0009765625,
2032
            'MDL_handle' => 3.0009765625,
2033
            'arith_type' => 2,
2034
            'bin_pt' => 0,
2035
            'block_config' => 'sysgen_blockset:fromreg_config',
2036
            'block_handle' => 22.0009765625,
2037
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2038
            'block_type' => 'fromreg',
2039
            'dbl_ovrd' => 0,
2040
            'init' => 0,
2041
            'init_bit_vector' => '0b',
2042
            'mdl_handle' => 3.0009765625,
2043
            'model_handle' => 3.0009765625,
2044
            'n_bits' => 1,
2045
            'ownership' => 2,
2046
            'period' => '8e-009',
2047
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2048
            'shared_memory_name' => 'register10rv',
2049
          },
2050
          'needs_vhdl_wrapper' => 0,
2051
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2052
        },
2053
        'entityName' => 'x_x73',
2054
        'ports' => {
2055
          'data_out' => {
2056
            'attributes' => {
2057
              'bin_pt' => 0,
2058
              'is_floating_block' => 1,
2059
              'must_be_hdl_vector' => 1,
2060
              'period' => 1,
2061
              'port_id' => 0,
2062
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20/data_out',
2063
              'type' => 'UFix_1_0',
2064
            },
2065
            'direction' => 'out',
2066
            'hdlType' => 'std_logic_vector(0 downto 0)',
2067
            'width' => 1,
2068
          },
2069
        },
2070
      },
2071
      'entityName' => 'x_x73',
2072
    },
2073
    'from_register21' => {
2074
      'connections' => {
2075
        'data_out' => 'from_register21_data_out_net',
2076
      },
2077
      'entity' => {
2078
        'attributes' => {
2079
          'generics' => [
2080
          ],
2081
          'is_floating_block' => 1,
2082
          'mask' => {
2083
            'Block_Handle' => 23.0009765625,
2084
            'Block_handle' => 23.0009765625,
2085
            'MDL_Handle' => 3.0009765625,
2086
            'MDL_handle' => 3.0009765625,
2087
            'arith_type' => 2,
2088
            'bin_pt' => 0,
2089
            'block_config' => 'sysgen_blockset:fromreg_config',
2090
            'block_handle' => 23.0009765625,
2091
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2092
            'block_type' => 'fromreg',
2093
            'dbl_ovrd' => 0,
2094
            'init' => 0,
2095
            'init_bit_vector' => '00000000000000000000000000000000b',
2096
            'mdl_handle' => 3.0009765625,
2097
            'model_handle' => 3.0009765625,
2098
            'n_bits' => 32,
2099
            'ownership' => 2,
2100
            'period' => '8e-009',
2101
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2102
            'shared_memory_name' => 'register11rd',
2103
          },
2104
          'needs_vhdl_wrapper' => 0,
2105
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2106
        },
2107
        'entityName' => 'x_x74',
2108
        'ports' => {
2109
          'data_out' => {
2110
            'attributes' => {
2111
              'bin_pt' => 0,
2112
              'is_floating_block' => 1,
2113
              'must_be_hdl_vector' => 1,
2114
              'period' => 1,
2115
              'port_id' => 0,
2116
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21/data_out',
2117
              'type' => 'UFix_32_0',
2118
            },
2119
            'direction' => 'out',
2120
            'hdlType' => 'std_logic_vector(31 downto 0)',
2121
            'width' => 32,
2122
          },
2123
        },
2124
      },
2125
      'entityName' => 'x_x74',
2126
    },
2127
    'from_register22' => {
2128
      'connections' => {
2129
        'data_out' => 'from_register22_data_out_net',
2130
      },
2131
      'entity' => {
2132
        'attributes' => {
2133
          'generics' => [
2134
          ],
2135
          'is_floating_block' => 1,
2136
          'mask' => {
2137
            'Block_Handle' => 24.0009765625,
2138
            'Block_handle' => 24.0009765625,
2139
            'MDL_Handle' => 3.0009765625,
2140
            'MDL_handle' => 3.0009765625,
2141
            'arith_type' => 2,
2142
            'bin_pt' => 0,
2143
            'block_config' => 'sysgen_blockset:fromreg_config',
2144
            'block_handle' => 24.0009765625,
2145
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2146
            'block_type' => 'fromreg',
2147
            'dbl_ovrd' => 0,
2148
            'init' => 0,
2149
            'init_bit_vector' => '0b',
2150
            'mdl_handle' => 3.0009765625,
2151
            'model_handle' => 3.0009765625,
2152
            'n_bits' => 1,
2153
            'ownership' => 2,
2154
            'period' => '8e-009',
2155
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2156
            'shared_memory_name' => 'register11rv',
2157
          },
2158
          'needs_vhdl_wrapper' => 0,
2159
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2160
        },
2161
        'entityName' => 'x_x75',
2162
        'ports' => {
2163
          'data_out' => {
2164
            'attributes' => {
2165
              'bin_pt' => 0,
2166
              'is_floating_block' => 1,
2167
              'must_be_hdl_vector' => 1,
2168
              'period' => 1,
2169
              'port_id' => 0,
2170
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22/data_out',
2171
              'type' => 'UFix_1_0',
2172
            },
2173
            'direction' => 'out',
2174
            'hdlType' => 'std_logic_vector(0 downto 0)',
2175
            'width' => 1,
2176
          },
2177
        },
2178
      },
2179
      'entityName' => 'x_x75',
2180
    },
2181
    'from_register23' => {
2182
      'connections' => {
2183
        'data_out' => 'from_register23_data_out_net',
2184
      },
2185
      'entity' => {
2186
        'attributes' => {
2187
          'generics' => [
2188
          ],
2189
          'is_floating_block' => 1,
2190
          'mask' => {
2191
            'Block_Handle' => 25.0009765625,
2192
            'Block_handle' => 25.0009765625,
2193
            'MDL_Handle' => 3.0009765625,
2194
            'MDL_handle' => 3.0009765625,
2195
            'arith_type' => 2,
2196
            'bin_pt' => 0,
2197
            'block_config' => 'sysgen_blockset:fromreg_config',
2198
            'block_handle' => 25.0009765625,
2199
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2200
            'block_type' => 'fromreg',
2201
            'dbl_ovrd' => 0,
2202
            'init' => 0,
2203
            'init_bit_vector' => '00000000000000000000000000000000b',
2204
            'mdl_handle' => 3.0009765625,
2205
            'model_handle' => 3.0009765625,
2206
            'n_bits' => 32,
2207
            'ownership' => 2,
2208
            'period' => '8e-009',
2209
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2210
            'shared_memory_name' => 'register12rd',
2211
          },
2212
          'needs_vhdl_wrapper' => 0,
2213
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2214
        },
2215
        'entityName' => 'x_x76',
2216
        'ports' => {
2217
          'data_out' => {
2218
            'attributes' => {
2219
              'bin_pt' => 0,
2220
              'is_floating_block' => 1,
2221
              'must_be_hdl_vector' => 1,
2222
              'period' => 1,
2223
              'port_id' => 0,
2224
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23/data_out',
2225
              'type' => 'UFix_32_0',
2226
            },
2227
            'direction' => 'out',
2228
            'hdlType' => 'std_logic_vector(31 downto 0)',
2229
            'width' => 32,
2230
          },
2231
        },
2232
      },
2233
      'entityName' => 'x_x76',
2234
    },
2235
    'from_register24' => {
2236
      'connections' => {
2237
        'data_out' => 'from_register24_data_out_net',
2238
      },
2239
      'entity' => {
2240
        'attributes' => {
2241
          'generics' => [
2242
          ],
2243
          'is_floating_block' => 1,
2244
          'mask' => {
2245
            'Block_Handle' => 26.0009765625,
2246
            'Block_handle' => 26.0009765625,
2247
            'MDL_Handle' => 3.0009765625,
2248
            'MDL_handle' => 3.0009765625,
2249
            'arith_type' => 2,
2250
            'bin_pt' => 0,
2251
            'block_config' => 'sysgen_blockset:fromreg_config',
2252
            'block_handle' => 26.0009765625,
2253
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2254
            'block_type' => 'fromreg',
2255
            'dbl_ovrd' => 0,
2256
            'init' => 0,
2257
            'init_bit_vector' => '0b',
2258
            'mdl_handle' => 3.0009765625,
2259
            'model_handle' => 3.0009765625,
2260
            'n_bits' => 1,
2261
            'ownership' => 2,
2262
            'period' => '8e-009',
2263
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2264
            'shared_memory_name' => 'register12rv',
2265
          },
2266
          'needs_vhdl_wrapper' => 0,
2267
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2268
        },
2269
        'entityName' => 'x_x77',
2270
        'ports' => {
2271
          'data_out' => {
2272
            'attributes' => {
2273
              'bin_pt' => 0,
2274
              'is_floating_block' => 1,
2275
              'must_be_hdl_vector' => 1,
2276
              'period' => 1,
2277
              'port_id' => 0,
2278
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24/data_out',
2279
              'type' => 'UFix_1_0',
2280
            },
2281
            'direction' => 'out',
2282
            'hdlType' => 'std_logic_vector(0 downto 0)',
2283
            'width' => 1,
2284
          },
2285
        },
2286
      },
2287
      'entityName' => 'x_x77',
2288
    },
2289
    'from_register25' => {
2290
      'connections' => {
2291
        'data_out' => 'from_register25_data_out_net',
2292
      },
2293
      'entity' => {
2294
        'attributes' => {
2295
          'generics' => [
2296
          ],
2297
          'is_floating_block' => 1,
2298
          'mask' => {
2299
            'Block_Handle' => 27.0009765625,
2300
            'Block_handle' => 27.0009765625,
2301
            'MDL_Handle' => 3.0009765625,
2302
            'MDL_handle' => 3.0009765625,
2303
            'arith_type' => 2,
2304
            'bin_pt' => 0,
2305
            'block_config' => 'sysgen_blockset:fromreg_config',
2306
            'block_handle' => 27.0009765625,
2307
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2308
            'block_type' => 'fromreg',
2309
            'dbl_ovrd' => 0,
2310
            'init' => 0,
2311
            'init_bit_vector' => '00000000000000000000000000000000b',
2312
            'mdl_handle' => 3.0009765625,
2313
            'model_handle' => 3.0009765625,
2314
            'n_bits' => 32,
2315
            'ownership' => 2,
2316
            'period' => '8e-009',
2317
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2318
            'shared_memory_name' => 'register13rd',
2319
          },
2320
          'needs_vhdl_wrapper' => 0,
2321
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2322
        },
2323
        'entityName' => 'x_x78',
2324
        'ports' => {
2325
          'data_out' => {
2326
            'attributes' => {
2327
              'bin_pt' => 0,
2328
              'is_floating_block' => 1,
2329
              'must_be_hdl_vector' => 1,
2330
              'period' => 1,
2331
              'port_id' => 0,
2332
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25/data_out',
2333
              'type' => 'UFix_32_0',
2334
            },
2335
            'direction' => 'out',
2336
            'hdlType' => 'std_logic_vector(31 downto 0)',
2337
            'width' => 32,
2338
          },
2339
        },
2340
      },
2341
      'entityName' => 'x_x78',
2342
    },
2343
    'from_register26' => {
2344
      'connections' => {
2345
        'data_out' => 'from_register26_data_out_net',
2346
      },
2347
      'entity' => {
2348
        'attributes' => {
2349
          'generics' => [
2350
          ],
2351
          'is_floating_block' => 1,
2352
          'mask' => {
2353
            'Block_Handle' => 28.0009765625,
2354
            'Block_handle' => 28.0009765625,
2355
            'MDL_Handle' => 3.0009765625,
2356
            'MDL_handle' => 3.0009765625,
2357
            'arith_type' => 2,
2358
            'bin_pt' => 0,
2359
            'block_config' => 'sysgen_blockset:fromreg_config',
2360
            'block_handle' => 28.0009765625,
2361
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2362
            'block_type' => 'fromreg',
2363
            'dbl_ovrd' => 0,
2364
            'init' => 0,
2365
            'init_bit_vector' => '0b',
2366
            'mdl_handle' => 3.0009765625,
2367
            'model_handle' => 3.0009765625,
2368
            'n_bits' => 1,
2369
            'ownership' => 2,
2370
            'period' => '8e-009',
2371
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2372
            'shared_memory_name' => 'register13rv',
2373
          },
2374
          'needs_vhdl_wrapper' => 0,
2375
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2376
        },
2377
        'entityName' => 'x_x79',
2378
        'ports' => {
2379
          'data_out' => {
2380
            'attributes' => {
2381
              'bin_pt' => 0,
2382
              'is_floating_block' => 1,
2383
              'must_be_hdl_vector' => 1,
2384
              'period' => 1,
2385
              'port_id' => 0,
2386
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26/data_out',
2387
              'type' => 'UFix_1_0',
2388
            },
2389
            'direction' => 'out',
2390
            'hdlType' => 'std_logic_vector(0 downto 0)',
2391
            'width' => 1,
2392
          },
2393
        },
2394
      },
2395
      'entityName' => 'x_x79',
2396
    },
2397
    'from_register27' => {
2398
      'connections' => {
2399
        'data_out' => 'from_register27_data_out_net',
2400
      },
2401
      'entity' => {
2402
        'attributes' => {
2403
          'generics' => [
2404
          ],
2405
          'is_floating_block' => 1,
2406
          'mask' => {
2407
            'Block_Handle' => 29.0009765625,
2408
            'Block_handle' => 29.0009765625,
2409
            'MDL_Handle' => 3.0009765625,
2410
            'MDL_handle' => 3.0009765625,
2411
            'arith_type' => 2,
2412
            'bin_pt' => 0,
2413
            'block_config' => 'sysgen_blockset:fromreg_config',
2414
            'block_handle' => 29.0009765625,
2415
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2416
            'block_type' => 'fromreg',
2417
            'dbl_ovrd' => 0,
2418
            'init' => 0,
2419
            'init_bit_vector' => '00000000000000000000000000000000b',
2420
            'mdl_handle' => 3.0009765625,
2421
            'model_handle' => 3.0009765625,
2422
            'n_bits' => 32,
2423
            'ownership' => 2,
2424
            'period' => '8e-009',
2425
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2426
            'shared_memory_name' => 'register14rd',
2427
          },
2428
          'needs_vhdl_wrapper' => 0,
2429
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2430
        },
2431
        'entityName' => 'x_x80',
2432
        'ports' => {
2433
          'data_out' => {
2434
            'attributes' => {
2435
              'bin_pt' => 0,
2436
              'is_floating_block' => 1,
2437
              'must_be_hdl_vector' => 1,
2438
              'period' => 1,
2439
              'port_id' => 0,
2440
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27/data_out',
2441
              'type' => 'UFix_32_0',
2442
            },
2443
            'direction' => 'out',
2444
            'hdlType' => 'std_logic_vector(31 downto 0)',
2445
            'width' => 32,
2446
          },
2447
        },
2448
      },
2449
      'entityName' => 'x_x80',
2450
    },
2451
    'from_register28' => {
2452
      'connections' => {
2453
        'data_out' => 'from_register28_data_out_net',
2454
      },
2455
      'entity' => {
2456
        'attributes' => {
2457
          'generics' => [
2458
          ],
2459
          'is_floating_block' => 1,
2460
          'mask' => {
2461
            'Block_Handle' => 30.0009765625,
2462
            'Block_handle' => 30.0009765625,
2463
            'MDL_Handle' => 3.0009765625,
2464
            'MDL_handle' => 3.0009765625,
2465
            'arith_type' => 2,
2466
            'bin_pt' => 0,
2467
            'block_config' => 'sysgen_blockset:fromreg_config',
2468
            'block_handle' => 30.0009765625,
2469
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2470
            'block_type' => 'fromreg',
2471
            'dbl_ovrd' => 0,
2472
            'init' => 0,
2473
            'init_bit_vector' => '0b',
2474
            'mdl_handle' => 3.0009765625,
2475
            'model_handle' => 3.0009765625,
2476
            'n_bits' => 1,
2477
            'ownership' => 2,
2478
            'period' => '8e-009',
2479
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2480
            'shared_memory_name' => 'register14rv',
2481
          },
2482
          'needs_vhdl_wrapper' => 0,
2483
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2484
        },
2485
        'entityName' => 'x_x81',
2486
        'ports' => {
2487
          'data_out' => {
2488
            'attributes' => {
2489
              'bin_pt' => 0,
2490
              'is_floating_block' => 1,
2491
              'must_be_hdl_vector' => 1,
2492
              'period' => 1,
2493
              'port_id' => 0,
2494
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28/data_out',
2495
              'type' => 'UFix_1_0',
2496
            },
2497
            'direction' => 'out',
2498
            'hdlType' => 'std_logic_vector(0 downto 0)',
2499
            'width' => 1,
2500
          },
2501
        },
2502
      },
2503
      'entityName' => 'x_x81',
2504
    },
2505
    'from_register3' => {
2506
      'connections' => {
2507
        'data_out' => 'from_register3_data_out_net',
2508
      },
2509
      'entity' => {
2510
        'attributes' => {
2511
          'generics' => [
2512
          ],
2513
          'is_floating_block' => 1,
2514
          'mask' => {
2515
            'Block_Handle' => 31.0009765625,
2516
            'Block_handle' => 31.0009765625,
2517
            'MDL_Handle' => 3.0009765625,
2518
            'MDL_handle' => 3.0009765625,
2519
            'arith_type' => 2,
2520
            'bin_pt' => 0,
2521
            'block_config' => 'sysgen_blockset:fromreg_config',
2522
            'block_handle' => 31.0009765625,
2523
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2524
            'block_type' => 'fromreg',
2525
            'dbl_ovrd' => 0,
2526
            'init' => 0,
2527
            'init_bit_vector' => '00000000000000000000000000000000b',
2528
            'mdl_handle' => 3.0009765625,
2529
            'model_handle' => 3.0009765625,
2530
            'n_bits' => 32,
2531
            'ownership' => 2,
2532
            'period' => '8e-009',
2533
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2534
            'shared_memory_name' => 'register01rd',
2535
          },
2536
          'needs_vhdl_wrapper' => 0,
2537
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2538
        },
2539
        'entityName' => 'x_x82',
2540
        'ports' => {
2541
          'data_out' => {
2542
            'attributes' => {
2543
              'bin_pt' => 0,
2544
              'is_floating_block' => 1,
2545
              'must_be_hdl_vector' => 1,
2546
              'period' => 1,
2547
              'port_id' => 0,
2548
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3/data_out',
2549
              'type' => 'UFix_32_0',
2550
            },
2551
            'direction' => 'out',
2552
            'hdlType' => 'std_logic_vector(31 downto 0)',
2553
            'width' => 32,
2554
          },
2555
        },
2556
      },
2557
      'entityName' => 'x_x82',
2558
    },
2559
    'from_register4' => {
2560
      'connections' => {
2561
        'data_out' => 'from_register4_data_out_net',
2562
      },
2563
      'entity' => {
2564
        'attributes' => {
2565
          'generics' => [
2566
          ],
2567
          'is_floating_block' => 1,
2568
          'mask' => {
2569
            'Block_Handle' => 32.0009765625,
2570
            'Block_handle' => 32.0009765625,
2571
            'MDL_Handle' => 3.0009765625,
2572
            'MDL_handle' => 3.0009765625,
2573
            'arith_type' => 2,
2574
            'bin_pt' => 0,
2575
            'block_config' => 'sysgen_blockset:fromreg_config',
2576
            'block_handle' => 32.0009765625,
2577
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2578
            'block_type' => 'fromreg',
2579
            'dbl_ovrd' => 0,
2580
            'init' => 0,
2581
            'init_bit_vector' => '0b',
2582
            'mdl_handle' => 3.0009765625,
2583
            'model_handle' => 3.0009765625,
2584
            'n_bits' => 1,
2585
            'ownership' => 2,
2586
            'period' => '8e-009',
2587
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2588
            'shared_memory_name' => 'register04rv',
2589
          },
2590
          'needs_vhdl_wrapper' => 0,
2591
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
2592
        },
2593
        'entityName' => 'x_x83',
2594
        'ports' => {
2595
          'data_out' => {
2596
            'attributes' => {
2597
              'bin_pt' => 0,
2598
              'is_floating_block' => 1,
2599
              'must_be_hdl_vector' => 1,
2600
              'period' => 1,
2601
              'port_id' => 0,
2602
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4/data_out',
2603
              'type' => 'UFix_1_0',
2604
            },
2605
            'direction' => 'out',
2606
            'hdlType' => 'std_logic_vector(0 downto 0)',
2607
            'width' => 1,
2608
          },
2609
        },
2610
      },
2611
      'entityName' => 'x_x83',
2612
    },
2613
    'from_register5' => {
2614
      'connections' => {
2615
        'data_out' => 'from_register5_data_out_net',
2616
      },
2617
      'entity' => {
2618
        'attributes' => {
2619
          'generics' => [
2620
          ],
2621
          'is_floating_block' => 1,
2622
          'mask' => {
2623
            'Block_Handle' => 33.0009765625,
2624
            'Block_handle' => 33.0009765625,
2625
            'MDL_Handle' => 3.0009765625,
2626
            'MDL_handle' => 3.0009765625,
2627
            'arith_type' => 2,
2628
            'bin_pt' => 0,
2629
            'block_config' => 'sysgen_blockset:fromreg_config',
2630
            'block_handle' => 33.0009765625,
2631
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2632
            'block_type' => 'fromreg',
2633
            'dbl_ovrd' => 0,
2634
            'init' => 0,
2635
            'init_bit_vector' => '00000000000000000000000000000000b',
2636
            'mdl_handle' => 3.0009765625,
2637
            'model_handle' => 3.0009765625,
2638
            'n_bits' => 32,
2639
            'ownership' => 2,
2640
            'period' => '8e-009',
2641
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2642
            'shared_memory_name' => 'register02rd',
2643
          },
2644
          'needs_vhdl_wrapper' => 0,
2645
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
2646
        },
2647
        'entityName' => 'x_x84',
2648
        'ports' => {
2649
          'data_out' => {
2650
            'attributes' => {
2651
              'bin_pt' => 0,
2652
              'is_floating_block' => 1,
2653
              'must_be_hdl_vector' => 1,
2654
              'period' => 1,
2655
              'port_id' => 0,
2656
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5/data_out',
2657
              'type' => 'UFix_32_0',
2658
            },
2659
            'direction' => 'out',
2660
            'hdlType' => 'std_logic_vector(31 downto 0)',
2661
            'width' => 32,
2662
          },
2663
        },
2664
      },
2665
      'entityName' => 'x_x84',
2666
    },
2667
    'from_register6' => {
2668
      'connections' => {
2669
        'data_out' => 'from_register6_data_out_net',
2670
      },
2671
      'entity' => {
2672
        'attributes' => {
2673
          'generics' => [
2674
          ],
2675
          'is_floating_block' => 1,
2676
          'mask' => {
2677
            'Block_Handle' => 34.0009765625,
2678
            'Block_handle' => 34.0009765625,
2679
            'MDL_Handle' => 3.0009765625,
2680
            'MDL_handle' => 3.0009765625,
2681
            'arith_type' => 2,
2682
            'bin_pt' => 0,
2683
            'block_config' => 'sysgen_blockset:fromreg_config',
2684
            'block_handle' => 34.0009765625,
2685
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2686
            'block_type' => 'fromreg',
2687
            'dbl_ovrd' => 0,
2688
            'init' => 0,
2689
            'init_bit_vector' => '0b',
2690
            'mdl_handle' => 3.0009765625,
2691
            'model_handle' => 3.0009765625,
2692
            'n_bits' => 1,
2693
            'ownership' => 2,
2694
            'period' => '8e-009',
2695
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2696
            'shared_memory_name' => 'register03rv',
2697
          },
2698
          'needs_vhdl_wrapper' => 0,
2699
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
2700
        },
2701
        'entityName' => 'x_x85',
2702
        'ports' => {
2703
          'data_out' => {
2704
            'attributes' => {
2705
              'bin_pt' => 0,
2706
              'is_floating_block' => 1,
2707
              'must_be_hdl_vector' => 1,
2708
              'period' => 1,
2709
              'port_id' => 0,
2710
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6/data_out',
2711
              'type' => 'UFix_1_0',
2712
            },
2713
            'direction' => 'out',
2714
            'hdlType' => 'std_logic_vector(0 downto 0)',
2715
            'width' => 1,
2716
          },
2717
        },
2718
      },
2719
      'entityName' => 'x_x85',
2720
    },
2721
    'from_register7' => {
2722
      'connections' => {
2723
        'data_out' => 'from_register7_data_out_net',
2724
      },
2725
      'entity' => {
2726
        'attributes' => {
2727
          'generics' => [
2728
          ],
2729
          'is_floating_block' => 1,
2730
          'mask' => {
2731
            'Block_Handle' => 35.0009765625,
2732
            'Block_handle' => 35.0009765625,
2733
            'MDL_Handle' => 3.0009765625,
2734
            'MDL_handle' => 3.0009765625,
2735
            'arith_type' => 2,
2736
            'bin_pt' => 0,
2737
            'block_config' => 'sysgen_blockset:fromreg_config',
2738
            'block_handle' => 35.0009765625,
2739
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2740
            'block_type' => 'fromreg',
2741
            'dbl_ovrd' => 0,
2742
            'init' => 0,
2743
            'init_bit_vector' => '00000000000000000000000000000000b',
2744
            'mdl_handle' => 3.0009765625,
2745
            'model_handle' => 3.0009765625,
2746
            'n_bits' => 32,
2747
            'ownership' => 2,
2748
            'period' => '8e-009',
2749
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2750
            'shared_memory_name' => 'register03rd',
2751
          },
2752
          'needs_vhdl_wrapper' => 0,
2753
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
2754
        },
2755
        'entityName' => 'x_x86',
2756
        'ports' => {
2757
          'data_out' => {
2758
            'attributes' => {
2759
              'bin_pt' => 0,
2760
              'is_floating_block' => 1,
2761
              'must_be_hdl_vector' => 1,
2762
              'period' => 1,
2763
              'port_id' => 0,
2764
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7/data_out',
2765
              'type' => 'UFix_32_0',
2766
            },
2767
            'direction' => 'out',
2768
            'hdlType' => 'std_logic_vector(31 downto 0)',
2769
            'width' => 32,
2770
          },
2771
        },
2772
      },
2773
      'entityName' => 'x_x86',
2774
    },
2775
    'from_register8' => {
2776
      'connections' => {
2777
        'data_out' => 'from_register8_data_out_net',
2778
      },
2779
      'entity' => {
2780
        'attributes' => {
2781
          'generics' => [
2782
          ],
2783
          'is_floating_block' => 1,
2784
          'mask' => {
2785
            'Block_Handle' => 36.0009765625,
2786
            'Block_handle' => 36.0009765625,
2787
            'MDL_Handle' => 3.0009765625,
2788
            'MDL_handle' => 3.0009765625,
2789
            'arith_type' => 2,
2790
            'bin_pt' => 0,
2791
            'block_config' => 'sysgen_blockset:fromreg_config',
2792
            'block_handle' => 36.0009765625,
2793
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2794
            'block_type' => 'fromreg',
2795
            'dbl_ovrd' => 0,
2796
            'init' => 0,
2797
            'init_bit_vector' => '00000000000000000000000000000000b',
2798
            'mdl_handle' => 3.0009765625,
2799
            'model_handle' => 3.0009765625,
2800
            'n_bits' => 32,
2801
            'ownership' => 2,
2802
            'period' => '8e-009',
2803
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2804
            'shared_memory_name' => 'register04rd',
2805
          },
2806
          'needs_vhdl_wrapper' => 0,
2807
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
2808
        },
2809
        'entityName' => 'x_x87',
2810
        'ports' => {
2811
          'data_out' => {
2812
            'attributes' => {
2813
              'bin_pt' => 0,
2814
              'is_floating_block' => 1,
2815
              'must_be_hdl_vector' => 1,
2816
              'period' => 1,
2817
              'port_id' => 0,
2818
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8/data_out',
2819
              'type' => 'UFix_32_0',
2820
            },
2821
            'direction' => 'out',
2822
            'hdlType' => 'std_logic_vector(31 downto 0)',
2823
            'width' => 32,
2824
          },
2825
        },
2826
      },
2827
      'entityName' => 'x_x87',
2828
    },
2829
    'from_register9' => {
2830
      'connections' => {
2831
        'data_out' => 'from_register9_data_out_net',
2832
      },
2833
      'entity' => {
2834
        'attributes' => {
2835
          'generics' => [
2836
          ],
2837
          'is_floating_block' => 1,
2838
          'mask' => {
2839
            'Block_Handle' => 37.0009765625,
2840
            'Block_handle' => 37.0009765625,
2841
            'MDL_Handle' => 3.0009765625,
2842
            'MDL_handle' => 3.0009765625,
2843
            'arith_type' => 2,
2844
            'bin_pt' => 0,
2845
            'block_config' => 'sysgen_blockset:fromreg_config',
2846
            'block_handle' => 37.0009765625,
2847
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2848
            'block_type' => 'fromreg',
2849
            'dbl_ovrd' => 0,
2850
            'init' => 0,
2851
            'init_bit_vector' => '0b',
2852
            'mdl_handle' => 3.0009765625,
2853
            'model_handle' => 3.0009765625,
2854
            'n_bits' => 1,
2855
            'ownership' => 2,
2856
            'period' => '8e-009',
2857
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2858
            'shared_memory_name' => 'register05rv',
2859
          },
2860
          'needs_vhdl_wrapper' => 0,
2861
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
2862
        },
2863
        'entityName' => 'x_x88',
2864
        'ports' => {
2865
          'data_out' => {
2866
            'attributes' => {
2867
              'bin_pt' => 0,
2868
              'is_floating_block' => 1,
2869
              'must_be_hdl_vector' => 1,
2870
              'period' => 1,
2871
              'port_id' => 0,
2872
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9/data_out',
2873
              'type' => 'UFix_1_0',
2874
            },
2875
            'direction' => 'out',
2876
            'hdlType' => 'std_logic_vector(0 downto 0)',
2877
            'width' => 1,
2878
          },
2879
        },
2880
      },
2881
      'entityName' => 'x_x88',
2882
    },
2883
    'inout_logic' => {
2884
      'connections' => {
2885
        'data_in' => 'debug_in_2i_net_x0',
2886
        'data_in_x0' => 'reg04_tv_net_x0',
2887
        'data_in_x1' => 'reg04_td_net_x0',
2888
        'data_in_x10' => 'debug_in_3i_net_x0',
2889
        'data_in_x11' => 'debug_in_4i_net_x0',
2890
        'data_in_x12' => 'reg09_tv_net_x0',
2891
        'data_in_x13' => 'reg09_td_net_x0',
2892
        'data_in_x14' => 'reg10_tv_net_x0',
2893
        'data_in_x15' => 'reg10_td_net_x0',
2894
        'data_in_x16' => 'reg08_tv_net_x0',
2895
        'data_in_x17' => 'reg08_td_net_x0',
2896
        'data_in_x18' => 'reg11_tv_net_x0',
2897
        'data_in_x19' => 'reg11_td_net_x0',
2898
        'data_in_x2' => 'reg05_tv_net_x0',
2899
        'data_in_x20' => 'reg12_tv_net_x0',
2900
        'data_in_x21' => 'reg01_tv_net_x0',
2901
        'data_in_x22' => 'reg12_td_net_x0',
2902
        'data_in_x23' => 'reg13_tv_net_x0',
2903
        'data_in_x24' => 'reg13_td_net_x0',
2904
        'data_in_x25' => 'reg14_tv_net_x0',
2905
        'data_in_x26' => 'reg14_td_net_x0',
2906
        'data_in_x27' => 'reg02_tv_net_x0',
2907
        'data_in_x28' => 'reg02_td_net_x0',
2908
        'data_in_x29' => 'debug_in_1i_net_x0',
2909
        'data_in_x3' => 'reg05_td_net_x0',
2910
        'data_in_x30' => 'reg01_td_net_x0',
2911
        'data_in_x31' => 'reg03_tv_net_x0',
2912
        'data_in_x32' => 'reg03_td_net_x0',
2913
        'data_in_x4' => 'reg06_tv_net_x0',
2914
        'data_in_x5' => 'reg06_td_net_x0',
2915
        'data_in_x6' => 'reg07_tv_net_x0',
2916
        'data_in_x7' => 'reg07_td_net_x0',
2917
        'data_in_x8' => 'dma_host2board_busy_net_x0',
2918
        'data_in_x9' => 'dma_host2board_done_net_x0',
2919
        'data_out' => 'from_register1_data_out_net',
2920
        'data_out_x0' => 'from_register10_data_out_net',
2921
        'data_out_x1' => 'from_register11_data_out_net',
2922
        'data_out_x10' => 'from_register2_data_out_net',
2923
        'data_out_x11' => 'from_register20_data_out_net',
2924
        'data_out_x12' => 'from_register21_data_out_net',
2925
        'data_out_x13' => 'from_register22_data_out_net',
2926
        'data_out_x14' => 'from_register23_data_out_net',
2927
        'data_out_x15' => 'from_register24_data_out_net',
2928
        'data_out_x16' => 'from_register25_data_out_net',
2929
        'data_out_x17' => 'from_register26_data_out_net',
2930
        'data_out_x18' => 'from_register27_data_out_net',
2931
        'data_out_x19' => 'from_register28_data_out_net',
2932
        'data_out_x2' => 'from_register12_data_out_net',
2933
        'data_out_x20' => 'from_register3_data_out_net',
2934
        'data_out_x21' => 'from_register4_data_out_net',
2935
        'data_out_x22' => 'from_register5_data_out_net',
2936
        'data_out_x23' => 'from_register6_data_out_net',
2937
        'data_out_x24' => 'from_register7_data_out_net',
2938
        'data_out_x25' => 'from_register8_data_out_net',
2939
        'data_out_x26' => 'from_register9_data_out_net',
2940
        'data_out_x3' => 'from_register13_data_out_net',
2941
        'data_out_x4' => 'from_register14_data_out_net',
2942
        'data_out_x5' => 'from_register15_data_out_net',
2943
        'data_out_x6' => 'from_register16_data_out_net',
2944
        'data_out_x7' => 'from_register17_data_out_net',
2945
        'data_out_x8' => 'from_register18_data_out_net',
2946
        'data_out_x9' => 'from_register19_data_out_net',
2947
        'debug_in_1i' => 'debug_in_1i_net',
2948
        'debug_in_2i' => 'debug_in_2i_net',
2949
        'debug_in_3i' => 'debug_in_3i_net',
2950
        'debug_in_4i' => 'debug_in_4i_net',
2951
        'dma_host2board_busy' => 'dma_host2board_busy_net',
2952
        'dma_host2board_done' => 'dma_host2board_done_net',
2953
        'en' => 'constant5_op_net_x0',
2954
        'en_x0' => 'constant5_op_net_x1',
2955
        'en_x1' => 'constant5_op_net_x2',
2956
        'en_x10' => 'constant5_op_net_x11',
2957
        'en_x11' => 'constant5_op_net_x12',
2958
        'en_x12' => 'constant1_op_net_x0',
2959
        'en_x13' => 'constant1_op_net_x1',
2960
        'en_x14' => 'constant1_op_net_x2',
2961
        'en_x15' => 'constant1_op_net_x3',
2962
        'en_x16' => 'constant1_op_net_x4',
2963
        'en_x17' => 'constant1_op_net_x5',
2964
        'en_x18' => 'constant1_op_net_x6',
2965
        'en_x19' => 'constant1_op_net_x7',
2966
        'en_x2' => 'constant5_op_net_x3',
2967
        'en_x20' => 'constant1_op_net_x8',
2968
        'en_x21' => 'constant5_op_net_x13',
2969
        'en_x22' => 'constant1_op_net_x9',
2970
        'en_x23' => 'constant1_op_net_x10',
2971
        'en_x24' => 'constant1_op_net_x11',
2972
        'en_x25' => 'constant1_op_net_x12',
2973
        'en_x26' => 'constant1_op_net_x13',
2974
        'en_x27' => 'constant5_op_net_x14',
2975
        'en_x28' => 'constant5_op_net_x15',
2976
        'en_x29' => 'constant5_op_net_x16',
2977
        'en_x3' => 'constant5_op_net_x4',
2978
        'en_x30' => 'constant5_op_net_x17',
2979
        'en_x31' => 'constant5_op_net_x18',
2980
        'en_x32' => 'constant5_op_net_x19',
2981
        'en_x4' => 'constant5_op_net_x5',
2982
        'en_x5' => 'constant5_op_net_x6',
2983
        'en_x6' => 'constant5_op_net_x7',
2984
        'en_x7' => 'constant5_op_net_x8',
2985
        'en_x8' => 'constant5_op_net_x9',
2986
        'en_x9' => 'constant5_op_net_x10',
2987
        'reg01_rd' => 'from_register3_data_out_net_x0',
2988
        'reg01_rv' => 'from_register1_data_out_net_x0',
2989
        'reg01_td' => 'reg01_td_net',
2990
        'reg01_tv' => 'reg01_tv_net',
2991
        'reg02_rd' => 'from_register5_data_out_net_x0',
2992
        'reg02_rv' => 'from_register2_data_out_net_x0',
2993
        'reg02_td' => 'reg02_td_net',
2994
        'reg02_tv' => 'reg02_tv_net',
2995
        'reg03_rd' => 'from_register7_data_out_net_x0',
2996
        'reg03_rv' => 'from_register6_data_out_net_x0',
2997
        'reg03_td' => 'reg03_td_net',
2998
        'reg03_tv' => 'reg03_tv_net',
2999
        'reg04_rd' => 'from_register8_data_out_net_x0',
3000
        'reg04_rv' => 'from_register4_data_out_net_x0',
3001
        'reg04_td' => 'reg04_td_net',
3002
        'reg04_tv' => 'reg04_tv_net',
3003
        'reg05_rd' => 'from_register10_data_out_net_x0',
3004
        'reg05_rv' => 'from_register9_data_out_net_x0',
3005
        'reg05_td' => 'reg05_td_net',
3006
        'reg05_tv' => 'reg05_tv_net',
3007
        'reg06_rd' => 'from_register11_data_out_net_x0',
3008
        'reg06_rv' => 'from_register12_data_out_net_x0',
3009
        'reg06_td' => 'reg06_td_net',
3010
        'reg06_tv' => 'reg06_tv_net',
3011
        'reg07_rd' => 'from_register13_data_out_net_x0',
3012
        'reg07_rv' => 'from_register14_data_out_net_x0',
3013
        'reg07_td' => 'reg07_td_net',
3014
        'reg07_tv' => 'reg07_tv_net',
3015
        'reg08_rd' => 'from_register15_data_out_net_x0',
3016
        'reg08_rv' => 'from_register16_data_out_net_x0',
3017
        'reg08_td' => 'reg08_td_net',
3018
        'reg08_tv' => 'reg08_tv_net',
3019
        'reg09_rd' => 'from_register17_data_out_net_x0',
3020
        'reg09_rv' => 'from_register18_data_out_net_x0',
3021
        'reg09_td' => 'reg09_td_net',
3022
        'reg09_tv' => 'reg09_tv_net',
3023
        'reg10_rd' => 'from_register19_data_out_net_x0',
3024
        'reg10_rv' => 'from_register20_data_out_net_x0',
3025
        'reg10_td' => 'reg10_td_net',
3026
        'reg10_tv' => 'reg10_tv_net',
3027
        'reg11_rd' => 'from_register21_data_out_net_x0',
3028
        'reg11_rv' => 'from_register22_data_out_net_x0',
3029
        'reg11_td' => 'reg11_td_net',
3030
        'reg11_tv' => 'reg11_tv_net',
3031
        'reg12_rd' => 'from_register23_data_out_net_x0',
3032
        'reg12_rv' => 'from_register24_data_out_net_x0',
3033
        'reg12_td' => 'reg12_td_net',
3034
        'reg12_tv' => 'reg12_tv_net',
3035
        'reg13_rd' => 'from_register25_data_out_net_x0',
3036
        'reg13_rv' => 'from_register26_data_out_net_x0',
3037
        'reg13_td' => 'reg13_td_net',
3038
        'reg13_tv' => 'reg13_tv_net',
3039
        'reg14_rd' => 'from_register27_data_out_net_x0',
3040
        'reg14_rv' => 'from_register28_data_out_net_x0',
3041
        'reg14_td' => 'reg14_td_net',
3042
        'reg14_tv' => 'reg14_tv_net',
3043
      },
3044
      'entity' => {
3045
        'attributes' => {
3046
          'entityAlreadyNetlisted' => 1,
3047
          'hdlKind' => 'vhdl',
3048
          'isDesign' => 1,
3049
          'simulinkName' => 'INOUT_LOGIC',
3050
        },
3051
        'entityName' => 'inout_logic',
3052
        'ports' => {
3053
          'data_in' => {
3054
            'attributes' => {
3055
              'bin_pt' => 0,
3056
              'is_floating_block' => 1,
3057
              'must_be_hdl_vector' => 1,
3058
              'period' => 1,
3059
              'port_id' => 0,
3060
              'simulinkName' => 'INOUT_LOGIC/data_in',
3061
              'type' => 'UFix_32_0',
3062
            },
3063
            'direction' => 'out',
3064
            'hdlType' => 'std_logic_vector(31 downto 0)',
3065
            'width' => 32,
3066
          },
3067
          'data_in_x0' => {
3068
            'attributes' => {
3069
              'bin_pt' => 0,
3070
              'is_floating_block' => 1,
3071
              'must_be_hdl_vector' => 1,
3072
              'period' => 1,
3073
              'port_id' => 0,
3074
              'simulinkName' => 'INOUT_LOGIC/data_in',
3075
              'type' => 'Bool',
3076
            },
3077
            'direction' => 'out',
3078
            'hdlType' => 'std_logic',
3079
            'width' => 1,
3080
          },
3081
          'data_in_x1' => {
3082
            'attributes' => {
3083
              'bin_pt' => 0,
3084
              'is_floating_block' => 1,
3085
              'must_be_hdl_vector' => 1,
3086
              'period' => 1,
3087
              'port_id' => 0,
3088
              'simulinkName' => 'INOUT_LOGIC/data_in',
3089
              'type' => 'UFix_32_0',
3090
            },
3091
            'direction' => 'out',
3092
            'hdlType' => 'std_logic_vector(31 downto 0)',
3093
            'width' => 32,
3094
          },
3095
          'data_in_x10' => {
3096
            'attributes' => {
3097
              'bin_pt' => 0,
3098
              'is_floating_block' => 1,
3099
              'must_be_hdl_vector' => 1,
3100
              'period' => 1,
3101
              'port_id' => 0,
3102
              'simulinkName' => 'INOUT_LOGIC/data_in',
3103
              'type' => 'UFix_32_0',
3104
            },
3105
            'direction' => 'out',
3106
            'hdlType' => 'std_logic_vector(31 downto 0)',
3107
            'width' => 32,
3108
          },
3109
          'data_in_x11' => {
3110
            'attributes' => {
3111
              'bin_pt' => 0,
3112
              'is_floating_block' => 1,
3113
              'must_be_hdl_vector' => 1,
3114
              'period' => 1,
3115
              'port_id' => 0,
3116
              'simulinkName' => 'INOUT_LOGIC/data_in',
3117
              'type' => 'UFix_32_0',
3118
            },
3119
            'direction' => 'out',
3120
            'hdlType' => 'std_logic_vector(31 downto 0)',
3121
            'width' => 32,
3122
          },
3123
          'data_in_x12' => {
3124
            'attributes' => {
3125
              'bin_pt' => 0,
3126
              'is_floating_block' => 1,
3127
              'must_be_hdl_vector' => 1,
3128
              'period' => 1,
3129
              'port_id' => 0,
3130
              'simulinkName' => 'INOUT_LOGIC/data_in',
3131
              'type' => 'Bool',
3132
            },
3133
            'direction' => 'out',
3134
            'hdlType' => 'std_logic',
3135
            'width' => 1,
3136
          },
3137
          'data_in_x13' => {
3138
            'attributes' => {
3139
              'bin_pt' => 0,
3140
              'is_floating_block' => 1,
3141
              'must_be_hdl_vector' => 1,
3142
              'period' => 1,
3143
              'port_id' => 0,
3144
              'simulinkName' => 'INOUT_LOGIC/data_in',
3145
              'type' => 'UFix_32_0',
3146
            },
3147
            'direction' => 'out',
3148
            'hdlType' => 'std_logic_vector(31 downto 0)',
3149
            'width' => 32,
3150
          },
3151
          'data_in_x14' => {
3152
            'attributes' => {
3153
              'bin_pt' => 0,
3154
              'is_floating_block' => 1,
3155
              'must_be_hdl_vector' => 1,
3156
              'period' => 1,
3157
              'port_id' => 0,
3158
              'simulinkName' => 'INOUT_LOGIC/data_in',
3159
              'type' => 'Bool',
3160
            },
3161
            'direction' => 'out',
3162
            'hdlType' => 'std_logic',
3163
            'width' => 1,
3164
          },
3165
          'data_in_x15' => {
3166
            'attributes' => {
3167
              'bin_pt' => 0,
3168
              'is_floating_block' => 1,
3169
              'must_be_hdl_vector' => 1,
3170
              'period' => 1,
3171
              'port_id' => 0,
3172
              'simulinkName' => 'INOUT_LOGIC/data_in',
3173
              'type' => 'UFix_32_0',
3174
            },
3175
            'direction' => 'out',
3176
            'hdlType' => 'std_logic_vector(31 downto 0)',
3177
            'width' => 32,
3178
          },
3179
          'data_in_x16' => {
3180
            'attributes' => {
3181
              'bin_pt' => 0,
3182
              'is_floating_block' => 1,
3183
              'must_be_hdl_vector' => 1,
3184
              'period' => 1,
3185
              'port_id' => 0,
3186
              'simulinkName' => 'INOUT_LOGIC/data_in',
3187
              'type' => 'Bool',
3188
            },
3189
            'direction' => 'out',
3190
            'hdlType' => 'std_logic',
3191
            'width' => 1,
3192
          },
3193
          'data_in_x17' => {
3194
            'attributes' => {
3195
              'bin_pt' => 0,
3196
              'is_floating_block' => 1,
3197
              'must_be_hdl_vector' => 1,
3198
              'period' => 1,
3199
              'port_id' => 0,
3200
              'simulinkName' => 'INOUT_LOGIC/data_in',
3201
              'type' => 'UFix_32_0',
3202
            },
3203
            'direction' => 'out',
3204
            'hdlType' => 'std_logic_vector(31 downto 0)',
3205
            'width' => 32,
3206
          },
3207
          'data_in_x18' => {
3208
            'attributes' => {
3209
              'bin_pt' => 0,
3210
              'is_floating_block' => 1,
3211
              'must_be_hdl_vector' => 1,
3212
              'period' => 1,
3213
              'port_id' => 0,
3214
              'simulinkName' => 'INOUT_LOGIC/data_in',
3215
              'type' => 'Bool',
3216
            },
3217
            'direction' => 'out',
3218
            'hdlType' => 'std_logic',
3219
            'width' => 1,
3220
          },
3221
          'data_in_x19' => {
3222
            'attributes' => {
3223
              'bin_pt' => 0,
3224
              'is_floating_block' => 1,
3225
              'must_be_hdl_vector' => 1,
3226
              'period' => 1,
3227
              'port_id' => 0,
3228
              'simulinkName' => 'INOUT_LOGIC/data_in',
3229
              'type' => 'UFix_32_0',
3230
            },
3231
            'direction' => 'out',
3232
            'hdlType' => 'std_logic_vector(31 downto 0)',
3233
            'width' => 32,
3234
          },
3235
          'data_in_x2' => {
3236
            'attributes' => {
3237
              'bin_pt' => 0,
3238
              'is_floating_block' => 1,
3239
              'must_be_hdl_vector' => 1,
3240
              'period' => 1,
3241
              'port_id' => 0,
3242
              'simulinkName' => 'INOUT_LOGIC/data_in',
3243
              'type' => 'Bool',
3244
            },
3245
            'direction' => 'out',
3246
            'hdlType' => 'std_logic',
3247
            'width' => 1,
3248
          },
3249
          'data_in_x20' => {
3250
            'attributes' => {
3251
              'bin_pt' => 0,
3252
              'is_floating_block' => 1,
3253
              'must_be_hdl_vector' => 1,
3254
              'period' => 1,
3255
              'port_id' => 0,
3256
              'simulinkName' => 'INOUT_LOGIC/data_in',
3257
              'type' => 'Bool',
3258
            },
3259
            'direction' => 'out',
3260
            'hdlType' => 'std_logic',
3261
            'width' => 1,
3262
          },
3263
          'data_in_x21' => {
3264
            'attributes' => {
3265
              'bin_pt' => 0,
3266
              'is_floating_block' => 1,
3267
              'must_be_hdl_vector' => 1,
3268
              'period' => 1,
3269
              'port_id' => 0,
3270
              'simulinkName' => 'INOUT_LOGIC/data_in',
3271
              'type' => 'Bool',
3272
            },
3273
            'direction' => 'out',
3274
            'hdlType' => 'std_logic',
3275
            'width' => 1,
3276
          },
3277
          'data_in_x22' => {
3278
            'attributes' => {
3279
              'bin_pt' => 0,
3280
              'is_floating_block' => 1,
3281
              'must_be_hdl_vector' => 1,
3282
              'period' => 1,
3283
              'port_id' => 0,
3284
              'simulinkName' => 'INOUT_LOGIC/data_in',
3285
              'type' => 'UFix_32_0',
3286
            },
3287
            'direction' => 'out',
3288
            'hdlType' => 'std_logic_vector(31 downto 0)',
3289
            'width' => 32,
3290
          },
3291
          'data_in_x23' => {
3292
            'attributes' => {
3293
              'bin_pt' => 0,
3294
              'is_floating_block' => 1,
3295
              'must_be_hdl_vector' => 1,
3296
              'period' => 1,
3297
              'port_id' => 0,
3298
              'simulinkName' => 'INOUT_LOGIC/data_in',
3299
              'type' => 'Bool',
3300
            },
3301
            'direction' => 'out',
3302
            'hdlType' => 'std_logic',
3303
            'width' => 1,
3304
          },
3305
          'data_in_x24' => {
3306
            'attributes' => {
3307
              'bin_pt' => 0,
3308
              'is_floating_block' => 1,
3309
              'must_be_hdl_vector' => 1,
3310
              'period' => 1,
3311
              'port_id' => 0,
3312
              'simulinkName' => 'INOUT_LOGIC/data_in',
3313
              'type' => 'UFix_32_0',
3314
            },
3315
            'direction' => 'out',
3316
            'hdlType' => 'std_logic_vector(31 downto 0)',
3317
            'width' => 32,
3318
          },
3319
          'data_in_x25' => {
3320
            'attributes' => {
3321
              'bin_pt' => 0,
3322
              'is_floating_block' => 1,
3323
              'must_be_hdl_vector' => 1,
3324
              'period' => 1,
3325
              'port_id' => 0,
3326
              'simulinkName' => 'INOUT_LOGIC/data_in',
3327
              'type' => 'Bool',
3328
            },
3329
            'direction' => 'out',
3330
            'hdlType' => 'std_logic',
3331
            'width' => 1,
3332
          },
3333
          'data_in_x26' => {
3334
            'attributes' => {
3335
              'bin_pt' => 0,
3336
              'is_floating_block' => 1,
3337
              'must_be_hdl_vector' => 1,
3338
              'period' => 1,
3339
              'port_id' => 0,
3340
              'simulinkName' => 'INOUT_LOGIC/data_in',
3341
              'type' => 'UFix_32_0',
3342
            },
3343
            'direction' => 'out',
3344
            'hdlType' => 'std_logic_vector(31 downto 0)',
3345
            'width' => 32,
3346
          },
3347
          'data_in_x27' => {
3348
            'attributes' => {
3349
              'bin_pt' => 0,
3350
              'is_floating_block' => 1,
3351
              'must_be_hdl_vector' => 1,
3352
              'period' => 1,
3353
              'port_id' => 0,
3354
              'simulinkName' => 'INOUT_LOGIC/data_in',
3355
              'type' => 'Bool',
3356
            },
3357
            'direction' => 'out',
3358
            'hdlType' => 'std_logic',
3359
            'width' => 1,
3360
          },
3361
          'data_in_x28' => {
3362
            'attributes' => {
3363
              'bin_pt' => 0,
3364
              'is_floating_block' => 1,
3365
              'must_be_hdl_vector' => 1,
3366
              'period' => 1,
3367
              'port_id' => 0,
3368
              'simulinkName' => 'INOUT_LOGIC/data_in',
3369
              'type' => 'UFix_32_0',
3370
            },
3371
            'direction' => 'out',
3372
            'hdlType' => 'std_logic_vector(31 downto 0)',
3373
            'width' => 32,
3374
          },
3375
          'data_in_x29' => {
3376
            'attributes' => {
3377
              'bin_pt' => 0,
3378
              'is_floating_block' => 1,
3379
              'must_be_hdl_vector' => 1,
3380
              'period' => 1,
3381
              'port_id' => 0,
3382
              'simulinkName' => 'INOUT_LOGIC/data_in',
3383
              'type' => 'UFix_32_0',
3384
            },
3385
            'direction' => 'out',
3386
            'hdlType' => 'std_logic_vector(31 downto 0)',
3387
            'width' => 32,
3388
          },
3389
          'data_in_x3' => {
3390
            'attributes' => {
3391
              'bin_pt' => 0,
3392
              'is_floating_block' => 1,
3393
              'must_be_hdl_vector' => 1,
3394
              'period' => 1,
3395
              'port_id' => 0,
3396
              'simulinkName' => 'INOUT_LOGIC/data_in',
3397
              'type' => 'UFix_32_0',
3398
            },
3399
            'direction' => 'out',
3400
            'hdlType' => 'std_logic_vector(31 downto 0)',
3401
            'width' => 32,
3402
          },
3403
          'data_in_x30' => {
3404
            'attributes' => {
3405
              'bin_pt' => 0,
3406
              'is_floating_block' => 1,
3407
              'must_be_hdl_vector' => 1,
3408
              'period' => 1,
3409
              'port_id' => 0,
3410
              'simulinkName' => 'INOUT_LOGIC/data_in',
3411
              'type' => 'UFix_32_0',
3412
            },
3413
            'direction' => 'out',
3414
            'hdlType' => 'std_logic_vector(31 downto 0)',
3415
            'width' => 32,
3416
          },
3417
          'data_in_x31' => {
3418
            'attributes' => {
3419
              'bin_pt' => 0,
3420
              'is_floating_block' => 1,
3421
              'must_be_hdl_vector' => 1,
3422
              'period' => 1,
3423
              'port_id' => 0,
3424
              'simulinkName' => 'INOUT_LOGIC/data_in',
3425
              'type' => 'Bool',
3426
            },
3427
            'direction' => 'out',
3428
            'hdlType' => 'std_logic',
3429
            'width' => 1,
3430
          },
3431
          'data_in_x32' => {
3432
            'attributes' => {
3433
              'bin_pt' => 0,
3434
              'is_floating_block' => 1,
3435
              'must_be_hdl_vector' => 1,
3436
              'period' => 1,
3437
              'port_id' => 0,
3438
              'simulinkName' => 'INOUT_LOGIC/data_in',
3439
              'type' => 'UFix_32_0',
3440
            },
3441
            'direction' => 'out',
3442
            'hdlType' => 'std_logic_vector(31 downto 0)',
3443
            'width' => 32,
3444
          },
3445
          'data_in_x4' => {
3446
            'attributes' => {
3447
              'bin_pt' => 0,
3448
              'is_floating_block' => 1,
3449
              'must_be_hdl_vector' => 1,
3450
              'period' => 1,
3451
              'port_id' => 0,
3452
              'simulinkName' => 'INOUT_LOGIC/data_in',
3453
              'type' => 'Bool',
3454
            },
3455
            'direction' => 'out',
3456
            'hdlType' => 'std_logic',
3457
            'width' => 1,
3458
          },
3459
          'data_in_x5' => {
3460
            'attributes' => {
3461
              'bin_pt' => 0,
3462
              'is_floating_block' => 1,
3463
              'must_be_hdl_vector' => 1,
3464
              'period' => 1,
3465
              'port_id' => 0,
3466
              'simulinkName' => 'INOUT_LOGIC/data_in',
3467
              'type' => 'UFix_32_0',
3468
            },
3469
            'direction' => 'out',
3470
            'hdlType' => 'std_logic_vector(31 downto 0)',
3471
            'width' => 32,
3472
          },
3473
          'data_in_x6' => {
3474
            'attributes' => {
3475
              'bin_pt' => 0,
3476
              'is_floating_block' => 1,
3477
              'must_be_hdl_vector' => 1,
3478
              'period' => 1,
3479
              'port_id' => 0,
3480
              'simulinkName' => 'INOUT_LOGIC/data_in',
3481
              'type' => 'Bool',
3482
            },
3483
            'direction' => 'out',
3484
            'hdlType' => 'std_logic',
3485
            'width' => 1,
3486
          },
3487
          'data_in_x7' => {
3488
            'attributes' => {
3489
              'bin_pt' => 0,
3490
              'is_floating_block' => 1,
3491
              'must_be_hdl_vector' => 1,
3492
              'period' => 1,
3493
              'port_id' => 0,
3494
              'simulinkName' => 'INOUT_LOGIC/data_in',
3495
              'type' => 'UFix_32_0',
3496
            },
3497
            'direction' => 'out',
3498
            'hdlType' => 'std_logic_vector(31 downto 0)',
3499
            'width' => 32,
3500
          },
3501
          'data_in_x8' => {
3502
            'attributes' => {
3503
              'bin_pt' => 0,
3504
              'is_floating_block' => 1,
3505
              'must_be_hdl_vector' => 1,
3506
              'period' => 1,
3507
              'port_id' => 0,
3508
              'simulinkName' => 'INOUT_LOGIC/data_in',
3509
              'type' => 'UFix_1_0',
3510
            },
3511
            'direction' => 'out',
3512
            'hdlType' => 'std_logic',
3513
            'width' => 1,
3514
          },
3515
          'data_in_x9' => {
3516
            'attributes' => {
3517
              'bin_pt' => 0,
3518
              'is_floating_block' => 1,
3519
              'must_be_hdl_vector' => 1,
3520
              'period' => 1,
3521
              'port_id' => 0,
3522
              'simulinkName' => 'INOUT_LOGIC/data_in',
3523
              'type' => 'UFix_1_0',
3524
            },
3525
            'direction' => 'out',
3526
            'hdlType' => 'std_logic',
3527
            'width' => 1,
3528
          },
3529
          'data_out' => {
3530
            'attributes' => {
3531
              'bin_pt' => 0,
3532
              'is_floating_block' => 1,
3533
              'must_be_hdl_vector' => 1,
3534
              'period' => 1,
3535
              'port_id' => 0,
3536
              'simulinkName' => 'INOUT_LOGIC/From Register1',
3537
              'type' => 'UFix_1_0',
3538
            },
3539
            'direction' => 'in',
3540
            'hdlType' => 'std_logic',
3541
            'width' => 1,
3542
          },
3543
          'data_out_x0' => {
3544
            'attributes' => {
3545
              'bin_pt' => 0,
3546
              'is_floating_block' => 1,
3547
              'must_be_hdl_vector' => 1,
3548
              'period' => 1,
3549
              'port_id' => 0,
3550
              'simulinkName' => 'INOUT_LOGIC/From Register10',
3551
              'type' => 'UFix_32_0',
3552
            },
3553
            'direction' => 'in',
3554
            'hdlType' => 'std_logic_vector(31 downto 0)',
3555
            'width' => 32,
3556
          },
3557
          'data_out_x1' => {
3558
            'attributes' => {
3559
              'bin_pt' => 0,
3560
              'is_floating_block' => 1,
3561
              'must_be_hdl_vector' => 1,
3562
              'period' => 1,
3563
              'port_id' => 0,
3564
              'simulinkName' => 'INOUT_LOGIC/From Register11',
3565
              'type' => 'UFix_32_0',
3566
            },
3567
            'direction' => 'in',
3568
            'hdlType' => 'std_logic_vector(31 downto 0)',
3569
            'width' => 32,
3570
          },
3571
          'data_out_x10' => {
3572
            'attributes' => {
3573
              'bin_pt' => 0,
3574
              'is_floating_block' => 1,
3575
              'must_be_hdl_vector' => 1,
3576
              'period' => 1,
3577
              'port_id' => 0,
3578
              'simulinkName' => 'INOUT_LOGIC/From Register2',
3579
              'type' => 'UFix_1_0',
3580
            },
3581
            'direction' => 'in',
3582
            'hdlType' => 'std_logic',
3583
            'width' => 1,
3584
          },
3585
          'data_out_x11' => {
3586
            'attributes' => {
3587
              'bin_pt' => 0,
3588
              'is_floating_block' => 1,
3589
              'must_be_hdl_vector' => 1,
3590
              'period' => 1,
3591
              'port_id' => 0,
3592
              'simulinkName' => 'INOUT_LOGIC/From Register20',
3593
              'type' => 'UFix_1_0',
3594
            },
3595
            'direction' => 'in',
3596
            'hdlType' => 'std_logic',
3597
            'width' => 1,
3598
          },
3599
          'data_out_x12' => {
3600
            'attributes' => {
3601
              'bin_pt' => 0,
3602
              'is_floating_block' => 1,
3603
              'must_be_hdl_vector' => 1,
3604
              'period' => 1,
3605
              'port_id' => 0,
3606
              'simulinkName' => 'INOUT_LOGIC/From Register21',
3607
              'type' => 'UFix_32_0',
3608
            },
3609
            'direction' => 'in',
3610
            'hdlType' => 'std_logic_vector(31 downto 0)',
3611
            'width' => 32,
3612
          },
3613
          'data_out_x13' => {
3614
            'attributes' => {
3615
              'bin_pt' => 0,
3616
              'is_floating_block' => 1,
3617
              'must_be_hdl_vector' => 1,
3618
              'period' => 1,
3619
              'port_id' => 0,
3620
              'simulinkName' => 'INOUT_LOGIC/From Register22',
3621
              'type' => 'UFix_1_0',
3622
            },
3623
            'direction' => 'in',
3624
            'hdlType' => 'std_logic',
3625
            'width' => 1,
3626
          },
3627
          'data_out_x14' => {
3628
            'attributes' => {
3629
              'bin_pt' => 0,
3630
              'is_floating_block' => 1,
3631
              'must_be_hdl_vector' => 1,
3632
              'period' => 1,
3633
              'port_id' => 0,
3634
              'simulinkName' => 'INOUT_LOGIC/From Register23',
3635
              'type' => 'UFix_32_0',
3636
            },
3637
            'direction' => 'in',
3638
            'hdlType' => 'std_logic_vector(31 downto 0)',
3639
            'width' => 32,
3640
          },
3641
          'data_out_x15' => {
3642
            'attributes' => {
3643
              'bin_pt' => 0,
3644
              'is_floating_block' => 1,
3645
              'must_be_hdl_vector' => 1,
3646
              'period' => 1,
3647
              'port_id' => 0,
3648
              'simulinkName' => 'INOUT_LOGIC/From Register24',
3649
              'type' => 'UFix_1_0',
3650
            },
3651
            'direction' => 'in',
3652
            'hdlType' => 'std_logic',
3653
            'width' => 1,
3654
          },
3655
          'data_out_x16' => {
3656
            'attributes' => {
3657
              'bin_pt' => 0,
3658
              'is_floating_block' => 1,
3659
              'must_be_hdl_vector' => 1,
3660
              'period' => 1,
3661
              'port_id' => 0,
3662
              'simulinkName' => 'INOUT_LOGIC/From Register25',
3663
              'type' => 'UFix_32_0',
3664
            },
3665
            'direction' => 'in',
3666
            'hdlType' => 'std_logic_vector(31 downto 0)',
3667
            'width' => 32,
3668
          },
3669
          'data_out_x17' => {
3670
            'attributes' => {
3671
              'bin_pt' => 0,
3672
              'is_floating_block' => 1,
3673
              'must_be_hdl_vector' => 1,
3674
              'period' => 1,
3675
              'port_id' => 0,
3676
              'simulinkName' => 'INOUT_LOGIC/From Register26',
3677
              'type' => 'UFix_1_0',
3678
            },
3679
            'direction' => 'in',
3680
            'hdlType' => 'std_logic',
3681
            'width' => 1,
3682
          },
3683
          'data_out_x18' => {
3684
            'attributes' => {
3685
              'bin_pt' => 0,
3686
              'is_floating_block' => 1,
3687
              'must_be_hdl_vector' => 1,
3688
              'period' => 1,
3689
              'port_id' => 0,
3690
              'simulinkName' => 'INOUT_LOGIC/From Register27',
3691
              'type' => 'UFix_32_0',
3692
            },
3693
            'direction' => 'in',
3694
            'hdlType' => 'std_logic_vector(31 downto 0)',
3695
            'width' => 32,
3696
          },
3697
          'data_out_x19' => {
3698
            'attributes' => {
3699
              'bin_pt' => 0,
3700
              'is_floating_block' => 1,
3701
              'must_be_hdl_vector' => 1,
3702
              'period' => 1,
3703
              'port_id' => 0,
3704
              'simulinkName' => 'INOUT_LOGIC/From Register28',
3705
              'type' => 'UFix_1_0',
3706
            },
3707
            'direction' => 'in',
3708
            'hdlType' => 'std_logic',
3709
            'width' => 1,
3710
          },
3711
          'data_out_x2' => {
3712
            'attributes' => {
3713
              'bin_pt' => 0,
3714
              'is_floating_block' => 1,
3715
              'must_be_hdl_vector' => 1,
3716
              'period' => 1,
3717
              'port_id' => 0,
3718
              'simulinkName' => 'INOUT_LOGIC/From Register12',
3719
              'type' => 'UFix_1_0',
3720
            },
3721
            'direction' => 'in',
3722
            'hdlType' => 'std_logic',
3723
            'width' => 1,
3724
          },
3725
          'data_out_x20' => {
3726
            'attributes' => {
3727
              'bin_pt' => 0,
3728
              'is_floating_block' => 1,
3729
              'must_be_hdl_vector' => 1,
3730
              'period' => 1,
3731
              'port_id' => 0,
3732
              'simulinkName' => 'INOUT_LOGIC/From Register3',
3733
              'type' => 'UFix_32_0',
3734
            },
3735
            'direction' => 'in',
3736
            'hdlType' => 'std_logic_vector(31 downto 0)',
3737
            'width' => 32,
3738
          },
3739
          'data_out_x21' => {
3740
            'attributes' => {
3741
              'bin_pt' => 0,
3742
              'is_floating_block' => 1,
3743
              'must_be_hdl_vector' => 1,
3744
              'period' => 1,
3745
              'port_id' => 0,
3746
              'simulinkName' => 'INOUT_LOGIC/From Register4',
3747
              'type' => 'UFix_1_0',
3748
            },
3749
            'direction' => 'in',
3750
            'hdlType' => 'std_logic',
3751
            'width' => 1,
3752
          },
3753
          'data_out_x22' => {
3754
            'attributes' => {
3755
              'bin_pt' => 0,
3756
              'is_floating_block' => 1,
3757
              'must_be_hdl_vector' => 1,
3758
              'period' => 1,
3759
              'port_id' => 0,
3760
              'simulinkName' => 'INOUT_LOGIC/From Register5',
3761
              'type' => 'UFix_32_0',
3762
            },
3763
            'direction' => 'in',
3764
            'hdlType' => 'std_logic_vector(31 downto 0)',
3765
            'width' => 32,
3766
          },
3767
          'data_out_x23' => {
3768
            'attributes' => {
3769
              'bin_pt' => 0,
3770
              'is_floating_block' => 1,
3771
              'must_be_hdl_vector' => 1,
3772
              'period' => 1,
3773
              'port_id' => 0,
3774
              'simulinkName' => 'INOUT_LOGIC/From Register6',
3775
              'type' => 'UFix_1_0',
3776
            },
3777
            'direction' => 'in',
3778
            'hdlType' => 'std_logic',
3779
            'width' => 1,
3780
          },
3781
          'data_out_x24' => {
3782
            'attributes' => {
3783
              'bin_pt' => 0,
3784
              'is_floating_block' => 1,
3785
              'must_be_hdl_vector' => 1,
3786
              'period' => 1,
3787
              'port_id' => 0,
3788
              'simulinkName' => 'INOUT_LOGIC/From Register7',
3789
              'type' => 'UFix_32_0',
3790
            },
3791
            'direction' => 'in',
3792
            'hdlType' => 'std_logic_vector(31 downto 0)',
3793
            'width' => 32,
3794
          },
3795
          'data_out_x25' => {
3796
            'attributes' => {
3797
              'bin_pt' => 0,
3798
              'is_floating_block' => 1,
3799
              'must_be_hdl_vector' => 1,
3800
              'period' => 1,
3801
              'port_id' => 0,
3802
              'simulinkName' => 'INOUT_LOGIC/From Register8',
3803
              'type' => 'UFix_32_0',
3804
            },
3805
            'direction' => 'in',
3806
            'hdlType' => 'std_logic_vector(31 downto 0)',
3807
            'width' => 32,
3808
          },
3809
          'data_out_x26' => {
3810
            'attributes' => {
3811
              'bin_pt' => 0,
3812
              'is_floating_block' => 1,
3813
              'must_be_hdl_vector' => 1,
3814
              'period' => 1,
3815
              'port_id' => 0,
3816
              'simulinkName' => 'INOUT_LOGIC/From Register9',
3817
              'type' => 'UFix_1_0',
3818
            },
3819
            'direction' => 'in',
3820
            'hdlType' => 'std_logic',
3821
            'width' => 1,
3822
          },
3823
          'data_out_x3' => {
3824
            'attributes' => {
3825
              'bin_pt' => 0,
3826
              'is_floating_block' => 1,
3827
              'must_be_hdl_vector' => 1,
3828
              'period' => 1,
3829
              'port_id' => 0,
3830
              'simulinkName' => 'INOUT_LOGIC/From Register13',
3831
              'type' => 'UFix_32_0',
3832
            },
3833
            'direction' => 'in',
3834
            'hdlType' => 'std_logic_vector(31 downto 0)',
3835
            'width' => 32,
3836
          },
3837
          'data_out_x4' => {
3838
            'attributes' => {
3839
              'bin_pt' => 0,
3840
              'is_floating_block' => 1,
3841
              'must_be_hdl_vector' => 1,
3842
              'period' => 1,
3843
              'port_id' => 0,
3844
              'simulinkName' => 'INOUT_LOGIC/From Register14',
3845
              'type' => 'UFix_1_0',
3846
            },
3847
            'direction' => 'in',
3848
            'hdlType' => 'std_logic',
3849
            'width' => 1,
3850
          },
3851
          'data_out_x5' => {
3852
            'attributes' => {
3853
              'bin_pt' => 0,
3854
              'is_floating_block' => 1,
3855
              'must_be_hdl_vector' => 1,
3856
              'period' => 1,
3857
              'port_id' => 0,
3858
              'simulinkName' => 'INOUT_LOGIC/From Register15',
3859
              'type' => 'UFix_32_0',
3860
            },
3861
            'direction' => 'in',
3862
            'hdlType' => 'std_logic_vector(31 downto 0)',
3863
            'width' => 32,
3864
          },
3865
          'data_out_x6' => {
3866
            'attributes' => {
3867
              'bin_pt' => 0,
3868
              'is_floating_block' => 1,
3869
              'must_be_hdl_vector' => 1,
3870
              'period' => 1,
3871
              'port_id' => 0,
3872
              'simulinkName' => 'INOUT_LOGIC/From Register16',
3873
              'type' => 'UFix_1_0',
3874
            },
3875
            'direction' => 'in',
3876
            'hdlType' => 'std_logic',
3877
            'width' => 1,
3878
          },
3879
          'data_out_x7' => {
3880
            'attributes' => {
3881
              'bin_pt' => 0,
3882
              'is_floating_block' => 1,
3883
              'must_be_hdl_vector' => 1,
3884
              'period' => 1,
3885
              'port_id' => 0,
3886
              'simulinkName' => 'INOUT_LOGIC/From Register17',
3887
              'type' => 'UFix_32_0',
3888
            },
3889
            'direction' => 'in',
3890
            'hdlType' => 'std_logic_vector(31 downto 0)',
3891
            'width' => 32,
3892
          },
3893
          'data_out_x8' => {
3894
            'attributes' => {
3895
              'bin_pt' => 0,
3896
              'is_floating_block' => 1,
3897
              'must_be_hdl_vector' => 1,
3898
              'period' => 1,
3899
              'port_id' => 0,
3900
              'simulinkName' => 'INOUT_LOGIC/From Register18',
3901
              'type' => 'UFix_1_0',
3902
            },
3903
            'direction' => 'in',
3904
            'hdlType' => 'std_logic',
3905
            'width' => 1,
3906
          },
3907
          'data_out_x9' => {
3908
            'attributes' => {
3909
              'bin_pt' => 0,
3910
              'is_floating_block' => 1,
3911
              'must_be_hdl_vector' => 1,
3912
              'period' => 1,
3913
              'port_id' => 0,
3914
              'simulinkName' => 'INOUT_LOGIC/From Register19',
3915
              'type' => 'UFix_32_0',
3916
            },
3917
            'direction' => 'in',
3918
            'hdlType' => 'std_logic_vector(31 downto 0)',
3919
            'width' => 32,
3920
          },
3921
          'debug_in_1i' => {
3922
            'attributes' => {
3923
              'bin_pt' => 0,
3924
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
3925
              'is_floating_block' => 1,
3926
              'is_gateway_port' => 1,
3927
              'must_be_hdl_vector' => 1,
3928
              'period' => 1,
3929
              'port_id' => 0,
3930
              'simulinkName' => 'INOUT_LOGIC/debug_in_1i',
3931
              'source_block' => 'INOUT_LOGIC',
3932
              'timingConstraint' => 'none',
3933
              'type' => 'UFix_32_0',
3934
            },
3935
            'direction' => 'in',
3936
            'hdlType' => 'std_logic_vector(31 downto 0)',
3937
            'width' => 32,
3938
          },
3939
          'debug_in_2i' => {
3940
            'attributes' => {
3941
              'bin_pt' => 0,
3942
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
3943
              'is_floating_block' => 1,
3944
              'is_gateway_port' => 1,
3945
              'must_be_hdl_vector' => 1,
3946
              'period' => 1,
3947
              'port_id' => 0,
3948
              'simulinkName' => 'INOUT_LOGIC/debug_in_2i',
3949
              'source_block' => 'INOUT_LOGIC',
3950
              'timingConstraint' => 'none',
3951
              'type' => 'UFix_32_0',
3952
            },
3953
            'direction' => 'in',
3954
            'hdlType' => 'std_logic_vector(31 downto 0)',
3955
            'width' => 32,
3956
          },
3957
          'debug_in_3i' => {
3958
            'attributes' => {
3959
              'bin_pt' => 0,
3960
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
3961
              'is_floating_block' => 1,
3962
              'is_gateway_port' => 1,
3963
              'must_be_hdl_vector' => 1,
3964
              'period' => 1,
3965
              'port_id' => 0,
3966
              'simulinkName' => 'INOUT_LOGIC/debug_in_3i',
3967
              'source_block' => 'INOUT_LOGIC',
3968
              'timingConstraint' => 'none',
3969
              'type' => 'UFix_32_0',
3970
            },
3971
            'direction' => 'in',
3972
            'hdlType' => 'std_logic_vector(31 downto 0)',
3973
            'width' => 32,
3974
          },
3975
          'debug_in_4i' => {
3976
            'attributes' => {
3977
              'bin_pt' => 0,
3978
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
3979
              'is_floating_block' => 1,
3980
              'is_gateway_port' => 1,
3981
              'must_be_hdl_vector' => 1,
3982
              'period' => 1,
3983
              'port_id' => 0,
3984
              'simulinkName' => 'INOUT_LOGIC/debug_in_4i',
3985
              'source_block' => 'INOUT_LOGIC',
3986
              'timingConstraint' => 'none',
3987
              'type' => 'UFix_32_0',
3988
            },
3989
            'direction' => 'in',
3990
            'hdlType' => 'std_logic_vector(31 downto 0)',
3991
            'width' => 32,
3992
          },
3993
          'dma_host2board_busy' => {
3994
            'attributes' => {
3995
              'bin_pt' => 0,
3996
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
3997
              'is_floating_block' => 1,
3998
              'is_gateway_port' => 1,
3999
              'must_be_hdl_vector' => 1,
4000
              'period' => 1,
4001
              'port_id' => 0,
4002
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Busy',
4003
              'source_block' => 'INOUT_LOGIC',
4004
              'timingConstraint' => 'none',
4005
              'type' => 'UFix_1_0',
4006
            },
4007
            'direction' => 'in',
4008
            'hdlType' => 'std_logic',
4009
            'width' => 1,
4010
          },
4011
          'dma_host2board_done' => {
4012
            'attributes' => {
4013
              'bin_pt' => 0,
4014
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
4015
              'is_floating_block' => 1,
4016
              'is_gateway_port' => 1,
4017
              'must_be_hdl_vector' => 1,
4018
              'period' => 1,
4019
              'port_id' => 0,
4020
              'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Done',
4021
              'source_block' => 'INOUT_LOGIC',
4022
              'timingConstraint' => 'none',
4023
              'type' => 'UFix_1_0',
4024
            },
4025
            'direction' => 'in',
4026
            'hdlType' => 'std_logic',
4027
            'width' => 1,
4028
          },
4029
          'en' => {
4030
            'attributes' => {
4031
              'bin_pt' => 0,
4032
              'is_floating_block' => 1,
4033
              'must_be_hdl_vector' => 1,
4034
              'period' => 1,
4035
              'port_id' => 0,
4036
              'simulinkName' => 'INOUT_LOGIC/en',
4037
              'type' => 'Bool',
4038
            },
4039
            'direction' => 'out',
4040
            'hdlType' => 'std_logic',
4041
            'width' => 1,
4042
          },
4043
          'en_x0' => {
4044
            'attributes' => {
4045
              'bin_pt' => 0,
4046
              'is_floating_block' => 1,
4047
              'must_be_hdl_vector' => 1,
4048
              'period' => 1,
4049
              'port_id' => 0,
4050
              'simulinkName' => 'INOUT_LOGIC/en',
4051
              'type' => 'Bool',
4052
            },
4053
            'direction' => 'out',
4054
            'hdlType' => 'std_logic',
4055
            'width' => 1,
4056
          },
4057
          'en_x1' => {
4058
            'attributes' => {
4059
              'bin_pt' => 0,
4060
              'is_floating_block' => 1,
4061
              'must_be_hdl_vector' => 1,
4062
              'period' => 1,
4063
              'port_id' => 0,
4064
              'simulinkName' => 'INOUT_LOGIC/en',
4065
              'type' => 'Bool',
4066
            },
4067
            'direction' => 'out',
4068
            'hdlType' => 'std_logic',
4069
            'width' => 1,
4070
          },
4071
          'en_x10' => {
4072
            'attributes' => {
4073
              'bin_pt' => 0,
4074
              'is_floating_block' => 1,
4075
              'must_be_hdl_vector' => 1,
4076
              'period' => 1,
4077
              'port_id' => 0,
4078
              'simulinkName' => 'INOUT_LOGIC/en',
4079
              'type' => 'Bool',
4080
            },
4081
            'direction' => 'out',
4082
            'hdlType' => 'std_logic',
4083
            'width' => 1,
4084
          },
4085
          'en_x11' => {
4086
            'attributes' => {
4087
              'bin_pt' => 0,
4088
              'is_floating_block' => 1,
4089
              'must_be_hdl_vector' => 1,
4090
              'period' => 1,
4091
              'port_id' => 0,
4092
              'simulinkName' => 'INOUT_LOGIC/en',
4093
              'type' => 'Bool',
4094
            },
4095
            'direction' => 'out',
4096
            'hdlType' => 'std_logic',
4097
            'width' => 1,
4098
          },
4099
          'en_x12' => {
4100
            'attributes' => {
4101
              'bin_pt' => 0,
4102
              'is_floating_block' => 1,
4103
              'must_be_hdl_vector' => 1,
4104
              'period' => 1,
4105
              'port_id' => 0,
4106
              'simulinkName' => 'INOUT_LOGIC/en',
4107
              'type' => 'Bool',
4108
            },
4109
            'direction' => 'out',
4110
            'hdlType' => 'std_logic',
4111
            'width' => 1,
4112
          },
4113
          'en_x13' => {
4114
            'attributes' => {
4115
              'bin_pt' => 0,
4116
              'is_floating_block' => 1,
4117
              'must_be_hdl_vector' => 1,
4118
              'period' => 1,
4119
              'port_id' => 0,
4120
              'simulinkName' => 'INOUT_LOGIC/en',
4121
              'type' => 'Bool',
4122
            },
4123
            'direction' => 'out',
4124
            'hdlType' => 'std_logic',
4125
            'width' => 1,
4126
          },
4127
          'en_x14' => {
4128
            'attributes' => {
4129
              'bin_pt' => 0,
4130
              'is_floating_block' => 1,
4131
              'must_be_hdl_vector' => 1,
4132
              'period' => 1,
4133
              'port_id' => 0,
4134
              'simulinkName' => 'INOUT_LOGIC/en',
4135
              'type' => 'Bool',
4136
            },
4137
            'direction' => 'out',
4138
            'hdlType' => 'std_logic',
4139
            'width' => 1,
4140
          },
4141
          'en_x15' => {
4142
            'attributes' => {
4143
              'bin_pt' => 0,
4144
              'is_floating_block' => 1,
4145
              'must_be_hdl_vector' => 1,
4146
              'period' => 1,
4147
              'port_id' => 0,
4148
              'simulinkName' => 'INOUT_LOGIC/en',
4149
              'type' => 'Bool',
4150
            },
4151
            'direction' => 'out',
4152
            'hdlType' => 'std_logic',
4153
            'width' => 1,
4154
          },
4155
          'en_x16' => {
4156
            'attributes' => {
4157
              'bin_pt' => 0,
4158
              'is_floating_block' => 1,
4159
              'must_be_hdl_vector' => 1,
4160
              'period' => 1,
4161
              'port_id' => 0,
4162
              'simulinkName' => 'INOUT_LOGIC/en',
4163
              'type' => 'Bool',
4164
            },
4165
            'direction' => 'out',
4166
            'hdlType' => 'std_logic',
4167
            'width' => 1,
4168
          },
4169
          'en_x17' => {
4170
            'attributes' => {
4171
              'bin_pt' => 0,
4172
              'is_floating_block' => 1,
4173
              'must_be_hdl_vector' => 1,
4174
              'period' => 1,
4175
              'port_id' => 0,
4176
              'simulinkName' => 'INOUT_LOGIC/en',
4177
              'type' => 'Bool',
4178
            },
4179
            'direction' => 'out',
4180
            'hdlType' => 'std_logic',
4181
            'width' => 1,
4182
          },
4183
          'en_x18' => {
4184
            'attributes' => {
4185
              'bin_pt' => 0,
4186
              'is_floating_block' => 1,
4187
              'must_be_hdl_vector' => 1,
4188
              'period' => 1,
4189
              'port_id' => 0,
4190
              'simulinkName' => 'INOUT_LOGIC/en',
4191
              'type' => 'Bool',
4192
            },
4193
            'direction' => 'out',
4194
            'hdlType' => 'std_logic',
4195
            'width' => 1,
4196
          },
4197
          'en_x19' => {
4198
            'attributes' => {
4199
              'bin_pt' => 0,
4200
              'is_floating_block' => 1,
4201
              'must_be_hdl_vector' => 1,
4202
              'period' => 1,
4203
              'port_id' => 0,
4204
              'simulinkName' => 'INOUT_LOGIC/en',
4205
              'type' => 'Bool',
4206
            },
4207
            'direction' => 'out',
4208
            'hdlType' => 'std_logic',
4209
            'width' => 1,
4210
          },
4211
          'en_x2' => {
4212
            'attributes' => {
4213
              'bin_pt' => 0,
4214
              'is_floating_block' => 1,
4215
              'must_be_hdl_vector' => 1,
4216
              'period' => 1,
4217
              'port_id' => 0,
4218
              'simulinkName' => 'INOUT_LOGIC/en',
4219
              'type' => 'Bool',
4220
            },
4221
            'direction' => 'out',
4222
            'hdlType' => 'std_logic',
4223
            'width' => 1,
4224
          },
4225
          'en_x20' => {
4226
            'attributes' => {
4227
              'bin_pt' => 0,
4228
              'is_floating_block' => 1,
4229
              'must_be_hdl_vector' => 1,
4230
              'period' => 1,
4231
              'port_id' => 0,
4232
              'simulinkName' => 'INOUT_LOGIC/en',
4233
              'type' => 'Bool',
4234
            },
4235
            'direction' => 'out',
4236
            'hdlType' => 'std_logic',
4237
            'width' => 1,
4238
          },
4239
          'en_x21' => {
4240
            'attributes' => {
4241
              'bin_pt' => 0,
4242
              'is_floating_block' => 1,
4243
              'must_be_hdl_vector' => 1,
4244
              'period' => 1,
4245
              'port_id' => 0,
4246
              'simulinkName' => 'INOUT_LOGIC/en',
4247
              'type' => 'Bool',
4248
            },
4249
            'direction' => 'out',
4250
            'hdlType' => 'std_logic',
4251
            'width' => 1,
4252
          },
4253
          'en_x22' => {
4254
            'attributes' => {
4255
              'bin_pt' => 0,
4256
              'is_floating_block' => 1,
4257
              'must_be_hdl_vector' => 1,
4258
              'period' => 1,
4259
              'port_id' => 0,
4260
              'simulinkName' => 'INOUT_LOGIC/en',
4261
              'type' => 'Bool',
4262
            },
4263
            'direction' => 'out',
4264
            'hdlType' => 'std_logic',
4265
            'width' => 1,
4266
          },
4267
          'en_x23' => {
4268
            'attributes' => {
4269
              'bin_pt' => 0,
4270
              'is_floating_block' => 1,
4271
              'must_be_hdl_vector' => 1,
4272
              'period' => 1,
4273
              'port_id' => 0,
4274
              'simulinkName' => 'INOUT_LOGIC/en',
4275
              'type' => 'Bool',
4276
            },
4277
            'direction' => 'out',
4278
            'hdlType' => 'std_logic',
4279
            'width' => 1,
4280
          },
4281
          'en_x24' => {
4282
            'attributes' => {
4283
              'bin_pt' => 0,
4284
              'is_floating_block' => 1,
4285
              'must_be_hdl_vector' => 1,
4286
              'period' => 1,
4287
              'port_id' => 0,
4288
              'simulinkName' => 'INOUT_LOGIC/en',
4289
              'type' => 'Bool',
4290
            },
4291
            'direction' => 'out',
4292
            'hdlType' => 'std_logic',
4293
            'width' => 1,
4294
          },
4295
          'en_x25' => {
4296
            'attributes' => {
4297
              'bin_pt' => 0,
4298
              'is_floating_block' => 1,
4299
              'must_be_hdl_vector' => 1,
4300
              'period' => 1,
4301
              'port_id' => 0,
4302
              'simulinkName' => 'INOUT_LOGIC/en',
4303
              'type' => 'Bool',
4304
            },
4305
            'direction' => 'out',
4306
            'hdlType' => 'std_logic',
4307
            'width' => 1,
4308
          },
4309
          'en_x26' => {
4310
            'attributes' => {
4311
              'bin_pt' => 0,
4312
              'is_floating_block' => 1,
4313
              'must_be_hdl_vector' => 1,
4314
              'period' => 1,
4315
              'port_id' => 0,
4316
              'simulinkName' => 'INOUT_LOGIC/en',
4317
              'type' => 'Bool',
4318
            },
4319
            'direction' => 'out',
4320
            'hdlType' => 'std_logic',
4321
            'width' => 1,
4322
          },
4323
          'en_x27' => {
4324
            'attributes' => {
4325
              'bin_pt' => 0,
4326
              'is_floating_block' => 1,
4327
              'must_be_hdl_vector' => 1,
4328
              'period' => 1,
4329
              'port_id' => 0,
4330
              'simulinkName' => 'INOUT_LOGIC/en',
4331
              'type' => 'Bool',
4332
            },
4333
            'direction' => 'out',
4334
            'hdlType' => 'std_logic',
4335
            'width' => 1,
4336
          },
4337
          'en_x28' => {
4338
            'attributes' => {
4339
              'bin_pt' => 0,
4340
              'is_floating_block' => 1,
4341
              'must_be_hdl_vector' => 1,
4342
              'period' => 1,
4343
              'port_id' => 0,
4344
              'simulinkName' => 'INOUT_LOGIC/en',
4345
              'type' => 'Bool',
4346
            },
4347
            'direction' => 'out',
4348
            'hdlType' => 'std_logic',
4349
            'width' => 1,
4350
          },
4351
          'en_x29' => {
4352
            'attributes' => {
4353
              'bin_pt' => 0,
4354
              'is_floating_block' => 1,
4355
              'must_be_hdl_vector' => 1,
4356
              'period' => 1,
4357
              'port_id' => 0,
4358
              'simulinkName' => 'INOUT_LOGIC/en',
4359
              'type' => 'Bool',
4360
            },
4361
            'direction' => 'out',
4362
            'hdlType' => 'std_logic',
4363
            'width' => 1,
4364
          },
4365
          'en_x3' => {
4366
            'attributes' => {
4367
              'bin_pt' => 0,
4368
              'is_floating_block' => 1,
4369
              'must_be_hdl_vector' => 1,
4370
              'period' => 1,
4371
              'port_id' => 0,
4372
              'simulinkName' => 'INOUT_LOGIC/en',
4373
              'type' => 'Bool',
4374
            },
4375
            'direction' => 'out',
4376
            'hdlType' => 'std_logic',
4377
            'width' => 1,
4378
          },
4379
          'en_x30' => {
4380
            'attributes' => {
4381
              'bin_pt' => 0,
4382
              'is_floating_block' => 1,
4383
              'must_be_hdl_vector' => 1,
4384
              'period' => 1,
4385
              'port_id' => 0,
4386
              'simulinkName' => 'INOUT_LOGIC/en',
4387
              'type' => 'Bool',
4388
            },
4389
            'direction' => 'out',
4390
            'hdlType' => 'std_logic',
4391
            'width' => 1,
4392
          },
4393
          'en_x31' => {
4394
            'attributes' => {
4395
              'bin_pt' => 0,
4396
              'is_floating_block' => 1,
4397
              'must_be_hdl_vector' => 1,
4398
              'period' => 1,
4399
              'port_id' => 0,
4400
              'simulinkName' => 'INOUT_LOGIC/en',
4401
              'type' => 'Bool',
4402
            },
4403
            'direction' => 'out',
4404
            'hdlType' => 'std_logic',
4405
            'width' => 1,
4406
          },
4407
          'en_x32' => {
4408
            'attributes' => {
4409
              'bin_pt' => 0,
4410
              'is_floating_block' => 1,
4411
              'must_be_hdl_vector' => 1,
4412
              'period' => 1,
4413
              'port_id' => 0,
4414
              'simulinkName' => 'INOUT_LOGIC/en',
4415
              'type' => 'Bool',
4416
            },
4417
            'direction' => 'out',
4418
            'hdlType' => 'std_logic',
4419
            'width' => 1,
4420
          },
4421
          'en_x4' => {
4422
            'attributes' => {
4423
              'bin_pt' => 0,
4424
              'is_floating_block' => 1,
4425
              'must_be_hdl_vector' => 1,
4426
              'period' => 1,
4427
              'port_id' => 0,
4428
              'simulinkName' => 'INOUT_LOGIC/en',
4429
              'type' => 'Bool',
4430
            },
4431
            'direction' => 'out',
4432
            'hdlType' => 'std_logic',
4433
            'width' => 1,
4434
          },
4435
          'en_x5' => {
4436
            'attributes' => {
4437
              'bin_pt' => 0,
4438
              'is_floating_block' => 1,
4439
              'must_be_hdl_vector' => 1,
4440
              'period' => 1,
4441
              'port_id' => 0,
4442
              'simulinkName' => 'INOUT_LOGIC/en',
4443
              'type' => 'Bool',
4444
            },
4445
            'direction' => 'out',
4446
            'hdlType' => 'std_logic',
4447
            'width' => 1,
4448
          },
4449
          'en_x6' => {
4450
            'attributes' => {
4451
              'bin_pt' => 0,
4452
              'is_floating_block' => 1,
4453
              'must_be_hdl_vector' => 1,
4454
              'period' => 1,
4455
              'port_id' => 0,
4456
              'simulinkName' => 'INOUT_LOGIC/en',
4457
              'type' => 'Bool',
4458
            },
4459
            'direction' => 'out',
4460
            'hdlType' => 'std_logic',
4461
            'width' => 1,
4462
          },
4463
          'en_x7' => {
4464
            'attributes' => {
4465
              'bin_pt' => 0,
4466
              'is_floating_block' => 1,
4467
              'must_be_hdl_vector' => 1,
4468
              'period' => 1,
4469
              'port_id' => 0,
4470
              'simulinkName' => 'INOUT_LOGIC/en',
4471
              'type' => 'Bool',
4472
            },
4473
            'direction' => 'out',
4474
            'hdlType' => 'std_logic',
4475
            'width' => 1,
4476
          },
4477
          'en_x8' => {
4478
            'attributes' => {
4479
              'bin_pt' => 0,
4480
              'is_floating_block' => 1,
4481
              'must_be_hdl_vector' => 1,
4482
              'period' => 1,
4483
              'port_id' => 0,
4484
              'simulinkName' => 'INOUT_LOGIC/en',
4485
              'type' => 'Bool',
4486
            },
4487
            'direction' => 'out',
4488
            'hdlType' => 'std_logic',
4489
            'width' => 1,
4490
          },
4491
          'en_x9' => {
4492
            'attributes' => {
4493
              'bin_pt' => 0,
4494
              'is_floating_block' => 1,
4495
              'must_be_hdl_vector' => 1,
4496
              'period' => 1,
4497
              'port_id' => 0,
4498
              'simulinkName' => 'INOUT_LOGIC/en',
4499
              'type' => 'Bool',
4500
            },
4501
            'direction' => 'out',
4502
            'hdlType' => 'std_logic',
4503
            'width' => 1,
4504
          },
4505
          'reg01_rd' => {
4506
            'attributes' => {
4507
              'bin_pt' => 0,
4508
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
4509
              'is_floating_block' => 1,
4510
              'is_gateway_port' => 1,
4511
              'must_be_hdl_vector' => 1,
4512
              'period' => 1,
4513
              'port_id' => 0,
4514
              'simulinkName' => 'INOUT_LOGIC/reg01_rd',
4515
              'source_block' => 'INOUT_LOGIC',
4516
              'timingConstraint' => 'none',
4517
              'type' => 'UFix_32_0',
4518
            },
4519
            'direction' => 'out',
4520
            'hdlType' => 'std_logic_vector(31 downto 0)',
4521
            'width' => 32,
4522
          },
4523
          'reg01_rv' => {
4524
            'attributes' => {
4525
              'bin_pt' => 0,
4526
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
4527
              'is_floating_block' => 1,
4528
              'is_gateway_port' => 1,
4529
              'must_be_hdl_vector' => 1,
4530
              'period' => 1,
4531
              'port_id' => 0,
4532
              'simulinkName' => 'INOUT_LOGIC/reg01_rv',
4533
              'source_block' => 'INOUT_LOGIC',
4534
              'timingConstraint' => 'none',
4535
              'type' => 'UFix_1_0',
4536
            },
4537
            'direction' => 'out',
4538
            'hdlType' => 'std_logic',
4539
            'width' => 1,
4540
          },
4541
          'reg01_td' => {
4542
            'attributes' => {
4543
              'bin_pt' => 0,
4544
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
4545
              'is_floating_block' => 1,
4546
              'is_gateway_port' => 1,
4547
              'must_be_hdl_vector' => 1,
4548
              'period' => 1,
4549
              'port_id' => 0,
4550
              'simulinkName' => 'INOUT_LOGIC/reg01_td',
4551
              'source_block' => 'INOUT_LOGIC',
4552
              'timingConstraint' => 'none',
4553
              'type' => 'UFix_32_0',
4554
            },
4555
            'direction' => 'in',
4556
            'hdlType' => 'std_logic_vector(31 downto 0)',
4557
            'width' => 32,
4558
          },
4559
          'reg01_tv' => {
4560
            'attributes' => {
4561
              'bin_pt' => 0,
4562
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
4563
              'is_floating_block' => 1,
4564
              'is_gateway_port' => 1,
4565
              'must_be_hdl_vector' => 1,
4566
              'period' => 1,
4567
              'port_id' => 0,
4568
              'simulinkName' => 'INOUT_LOGIC/reg01_tv',
4569
              'source_block' => 'INOUT_LOGIC',
4570
              'timingConstraint' => 'none',
4571
              'type' => 'Bool',
4572
            },
4573
            'direction' => 'in',
4574
            'hdlType' => 'std_logic',
4575
            'width' => 1,
4576
          },
4577
          'reg02_rd' => {
4578
            'attributes' => {
4579
              'bin_pt' => 0,
4580
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
4581
              'is_floating_block' => 1,
4582
              'is_gateway_port' => 1,
4583
              'must_be_hdl_vector' => 1,
4584
              'period' => 1,
4585
              'port_id' => 0,
4586
              'simulinkName' => 'INOUT_LOGIC/reg02_rd',
4587
              'source_block' => 'INOUT_LOGIC',
4588
              'timingConstraint' => 'none',
4589
              'type' => 'UFix_32_0',
4590
            },
4591
            'direction' => 'out',
4592
            'hdlType' => 'std_logic_vector(31 downto 0)',
4593
            'width' => 32,
4594
          },
4595
          'reg02_rv' => {
4596
            'attributes' => {
4597
              'bin_pt' => 0,
4598
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
4599
              'is_floating_block' => 1,
4600
              'is_gateway_port' => 1,
4601
              'must_be_hdl_vector' => 1,
4602
              'period' => 1,
4603
              'port_id' => 0,
4604
              'simulinkName' => 'INOUT_LOGIC/reg02_rv',
4605
              'source_block' => 'INOUT_LOGIC',
4606
              'timingConstraint' => 'none',
4607
              'type' => 'UFix_1_0',
4608
            },
4609
            'direction' => 'out',
4610
            'hdlType' => 'std_logic',
4611
            'width' => 1,
4612
          },
4613
          'reg02_td' => {
4614
            'attributes' => {
4615
              'bin_pt' => 0,
4616
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
4617
              'is_floating_block' => 1,
4618
              'is_gateway_port' => 1,
4619
              'must_be_hdl_vector' => 1,
4620
              'period' => 1,
4621
              'port_id' => 0,
4622
              'simulinkName' => 'INOUT_LOGIC/reg02_td',
4623
              'source_block' => 'INOUT_LOGIC',
4624
              'timingConstraint' => 'none',
4625
              'type' => 'UFix_32_0',
4626
            },
4627
            'direction' => 'in',
4628
            'hdlType' => 'std_logic_vector(31 downto 0)',
4629
            'width' => 32,
4630
          },
4631
          'reg02_tv' => {
4632
            'attributes' => {
4633
              'bin_pt' => 0,
4634
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
4635
              'is_floating_block' => 1,
4636
              'is_gateway_port' => 1,
4637
              'must_be_hdl_vector' => 1,
4638
              'period' => 1,
4639
              'port_id' => 0,
4640
              'simulinkName' => 'INOUT_LOGIC/reg02_tv',
4641
              'source_block' => 'INOUT_LOGIC',
4642
              'timingConstraint' => 'none',
4643
              'type' => 'Bool',
4644
            },
4645
            'direction' => 'in',
4646
            'hdlType' => 'std_logic',
4647
            'width' => 1,
4648
          },
4649
          'reg03_rd' => {
4650
            'attributes' => {
4651
              'bin_pt' => 0,
4652
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
4653
              'is_floating_block' => 1,
4654
              'is_gateway_port' => 1,
4655
              'must_be_hdl_vector' => 1,
4656
              'period' => 1,
4657
              'port_id' => 0,
4658
              'simulinkName' => 'INOUT_LOGIC/reg03_rd',
4659
              'source_block' => 'INOUT_LOGIC',
4660
              'timingConstraint' => 'none',
4661
              'type' => 'UFix_32_0',
4662
            },
4663
            'direction' => 'out',
4664
            'hdlType' => 'std_logic_vector(31 downto 0)',
4665
            'width' => 32,
4666
          },
4667
          'reg03_rv' => {
4668
            'attributes' => {
4669
              'bin_pt' => 0,
4670
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
4671
              'is_floating_block' => 1,
4672
              'is_gateway_port' => 1,
4673
              'must_be_hdl_vector' => 1,
4674
              'period' => 1,
4675
              'port_id' => 0,
4676
              'simulinkName' => 'INOUT_LOGIC/reg03_rv',
4677
              'source_block' => 'INOUT_LOGIC',
4678
              'timingConstraint' => 'none',
4679
              'type' => 'UFix_1_0',
4680
            },
4681
            'direction' => 'out',
4682
            'hdlType' => 'std_logic',
4683
            'width' => 1,
4684
          },
4685
          'reg03_td' => {
4686
            'attributes' => {
4687
              'bin_pt' => 0,
4688
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
4689
              'is_floating_block' => 1,
4690
              'is_gateway_port' => 1,
4691
              'must_be_hdl_vector' => 1,
4692
              'period' => 1,
4693
              'port_id' => 0,
4694
              'simulinkName' => 'INOUT_LOGIC/reg03_td',
4695
              'source_block' => 'INOUT_LOGIC',
4696
              'timingConstraint' => 'none',
4697
              'type' => 'UFix_32_0',
4698
            },
4699
            'direction' => 'in',
4700
            'hdlType' => 'std_logic_vector(31 downto 0)',
4701
            'width' => 32,
4702
          },
4703
          'reg03_tv' => {
4704
            'attributes' => {
4705
              'bin_pt' => 0,
4706
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
4707
              'is_floating_block' => 1,
4708
              'is_gateway_port' => 1,
4709
              'must_be_hdl_vector' => 1,
4710
              'period' => 1,
4711
              'port_id' => 0,
4712
              'simulinkName' => 'INOUT_LOGIC/reg03_tv',
4713
              'source_block' => 'INOUT_LOGIC',
4714
              'timingConstraint' => 'none',
4715
              'type' => 'Bool',
4716
            },
4717
            'direction' => 'in',
4718
            'hdlType' => 'std_logic',
4719
            'width' => 1,
4720
          },
4721
          'reg04_rd' => {
4722
            'attributes' => {
4723
              'bin_pt' => 0,
4724
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
4725
              'is_floating_block' => 1,
4726
              'is_gateway_port' => 1,
4727
              'must_be_hdl_vector' => 1,
4728
              'period' => 1,
4729
              'port_id' => 0,
4730
              'simulinkName' => 'INOUT_LOGIC/reg04_rd',
4731
              'source_block' => 'INOUT_LOGIC',
4732
              'timingConstraint' => 'none',
4733
              'type' => 'UFix_32_0',
4734
            },
4735
            'direction' => 'out',
4736
            'hdlType' => 'std_logic_vector(31 downto 0)',
4737
            'width' => 32,
4738
          },
4739
          'reg04_rv' => {
4740
            'attributes' => {
4741
              'bin_pt' => 0,
4742
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
4743
              'is_floating_block' => 1,
4744
              'is_gateway_port' => 1,
4745
              'must_be_hdl_vector' => 1,
4746
              'period' => 1,
4747
              'port_id' => 0,
4748
              'simulinkName' => 'INOUT_LOGIC/reg04_rv',
4749
              'source_block' => 'INOUT_LOGIC',
4750
              'timingConstraint' => 'none',
4751
              'type' => 'UFix_1_0',
4752
            },
4753
            'direction' => 'out',
4754
            'hdlType' => 'std_logic',
4755
            'width' => 1,
4756
          },
4757
          'reg04_td' => {
4758
            'attributes' => {
4759
              'bin_pt' => 0,
4760
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
4761
              'is_floating_block' => 1,
4762
              'is_gateway_port' => 1,
4763
              'must_be_hdl_vector' => 1,
4764
              'period' => 1,
4765
              'port_id' => 0,
4766
              'simulinkName' => 'INOUT_LOGIC/reg04_td',
4767
              'source_block' => 'INOUT_LOGIC',
4768
              'timingConstraint' => 'none',
4769
              'type' => 'UFix_32_0',
4770
            },
4771
            'direction' => 'in',
4772
            'hdlType' => 'std_logic_vector(31 downto 0)',
4773
            'width' => 32,
4774
          },
4775
          'reg04_tv' => {
4776
            'attributes' => {
4777
              'bin_pt' => 0,
4778
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
4779
              'is_floating_block' => 1,
4780
              'is_gateway_port' => 1,
4781
              'must_be_hdl_vector' => 1,
4782
              'period' => 1,
4783
              'port_id' => 0,
4784
              'simulinkName' => 'INOUT_LOGIC/reg04_tv',
4785
              'source_block' => 'INOUT_LOGIC',
4786
              'timingConstraint' => 'none',
4787
              'type' => 'Bool',
4788
            },
4789
            'direction' => 'in',
4790
            'hdlType' => 'std_logic',
4791
            'width' => 1,
4792
          },
4793
          'reg05_rd' => {
4794
            'attributes' => {
4795
              'bin_pt' => 0,
4796
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
4797
              'is_floating_block' => 1,
4798
              'is_gateway_port' => 1,
4799
              'must_be_hdl_vector' => 1,
4800
              'period' => 1,
4801
              'port_id' => 0,
4802
              'simulinkName' => 'INOUT_LOGIC/reg05_rd',
4803
              'source_block' => 'INOUT_LOGIC',
4804
              'timingConstraint' => 'none',
4805
              'type' => 'UFix_32_0',
4806
            },
4807
            'direction' => 'out',
4808
            'hdlType' => 'std_logic_vector(31 downto 0)',
4809
            'width' => 32,
4810
          },
4811
          'reg05_rv' => {
4812
            'attributes' => {
4813
              'bin_pt' => 0,
4814
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
4815
              'is_floating_block' => 1,
4816
              'is_gateway_port' => 1,
4817
              'must_be_hdl_vector' => 1,
4818
              'period' => 1,
4819
              'port_id' => 0,
4820
              'simulinkName' => 'INOUT_LOGIC/reg05_rv',
4821
              'source_block' => 'INOUT_LOGIC',
4822
              'timingConstraint' => 'none',
4823
              'type' => 'UFix_1_0',
4824
            },
4825
            'direction' => 'out',
4826
            'hdlType' => 'std_logic',
4827
            'width' => 1,
4828
          },
4829
          'reg05_td' => {
4830
            'attributes' => {
4831
              'bin_pt' => 0,
4832
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
4833
              'is_floating_block' => 1,
4834
              'is_gateway_port' => 1,
4835
              'must_be_hdl_vector' => 1,
4836
              'period' => 1,
4837
              'port_id' => 0,
4838
              'simulinkName' => 'INOUT_LOGIC/reg05_td',
4839
              'source_block' => 'INOUT_LOGIC',
4840
              'timingConstraint' => 'none',
4841
              'type' => 'UFix_32_0',
4842
            },
4843
            'direction' => 'in',
4844
            'hdlType' => 'std_logic_vector(31 downto 0)',
4845
            'width' => 32,
4846
          },
4847
          'reg05_tv' => {
4848
            'attributes' => {
4849
              'bin_pt' => 0,
4850
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
4851
              'is_floating_block' => 1,
4852
              'is_gateway_port' => 1,
4853
              'must_be_hdl_vector' => 1,
4854
              'period' => 1,
4855
              'port_id' => 0,
4856
              'simulinkName' => 'INOUT_LOGIC/reg05_tv',
4857
              'source_block' => 'INOUT_LOGIC',
4858
              'timingConstraint' => 'none',
4859
              'type' => 'Bool',
4860
            },
4861
            'direction' => 'in',
4862
            'hdlType' => 'std_logic',
4863
            'width' => 1,
4864
          },
4865
          'reg06_rd' => {
4866
            'attributes' => {
4867
              'bin_pt' => 0,
4868
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
4869
              'is_floating_block' => 1,
4870
              'is_gateway_port' => 1,
4871
              'must_be_hdl_vector' => 1,
4872
              'period' => 1,
4873
              'port_id' => 0,
4874
              'simulinkName' => 'INOUT_LOGIC/reg06_rd',
4875
              'source_block' => 'INOUT_LOGIC',
4876
              'timingConstraint' => 'none',
4877
              'type' => 'UFix_32_0',
4878
            },
4879
            'direction' => 'out',
4880
            'hdlType' => 'std_logic_vector(31 downto 0)',
4881
            'width' => 32,
4882
          },
4883
          'reg06_rv' => {
4884
            'attributes' => {
4885
              'bin_pt' => 0,
4886
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
4887
              'is_floating_block' => 1,
4888
              'is_gateway_port' => 1,
4889
              'must_be_hdl_vector' => 1,
4890
              'period' => 1,
4891
              'port_id' => 0,
4892
              'simulinkName' => 'INOUT_LOGIC/reg06_rv',
4893
              'source_block' => 'INOUT_LOGIC',
4894
              'timingConstraint' => 'none',
4895
              'type' => 'UFix_1_0',
4896
            },
4897
            'direction' => 'out',
4898
            'hdlType' => 'std_logic',
4899
            'width' => 1,
4900
          },
4901
          'reg06_td' => {
4902
            'attributes' => {
4903
              'bin_pt' => 0,
4904
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
4905
              'is_floating_block' => 1,
4906
              'is_gateway_port' => 1,
4907
              'must_be_hdl_vector' => 1,
4908
              'period' => 1,
4909
              'port_id' => 0,
4910
              'simulinkName' => 'INOUT_LOGIC/reg06_td',
4911
              'source_block' => 'INOUT_LOGIC',
4912
              'timingConstraint' => 'none',
4913
              'type' => 'UFix_32_0',
4914
            },
4915
            'direction' => 'in',
4916
            'hdlType' => 'std_logic_vector(31 downto 0)',
4917
            'width' => 32,
4918
          },
4919
          'reg06_tv' => {
4920
            'attributes' => {
4921
              'bin_pt' => 0,
4922
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
4923
              'is_floating_block' => 1,
4924
              'is_gateway_port' => 1,
4925
              'must_be_hdl_vector' => 1,
4926
              'period' => 1,
4927
              'port_id' => 0,
4928
              'simulinkName' => 'INOUT_LOGIC/reg06_tv',
4929
              'source_block' => 'INOUT_LOGIC',
4930
              'timingConstraint' => 'none',
4931
              'type' => 'Bool',
4932
            },
4933
            'direction' => 'in',
4934
            'hdlType' => 'std_logic',
4935
            'width' => 1,
4936
          },
4937
          'reg07_rd' => {
4938
            'attributes' => {
4939
              'bin_pt' => 0,
4940
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
4941
              'is_floating_block' => 1,
4942
              'is_gateway_port' => 1,
4943
              'must_be_hdl_vector' => 1,
4944
              'period' => 1,
4945
              'port_id' => 0,
4946
              'simulinkName' => 'INOUT_LOGIC/reg07_rd',
4947
              'source_block' => 'INOUT_LOGIC',
4948
              'timingConstraint' => 'none',
4949
              'type' => 'UFix_32_0',
4950
            },
4951
            'direction' => 'out',
4952
            'hdlType' => 'std_logic_vector(31 downto 0)',
4953
            'width' => 32,
4954
          },
4955
          'reg07_rv' => {
4956
            'attributes' => {
4957
              'bin_pt' => 0,
4958
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
4959
              'is_floating_block' => 1,
4960
              'is_gateway_port' => 1,
4961
              'must_be_hdl_vector' => 1,
4962
              'period' => 1,
4963
              'port_id' => 0,
4964
              'simulinkName' => 'INOUT_LOGIC/reg07_rv',
4965
              'source_block' => 'INOUT_LOGIC',
4966
              'timingConstraint' => 'none',
4967
              'type' => 'UFix_1_0',
4968
            },
4969
            'direction' => 'out',
4970
            'hdlType' => 'std_logic',
4971
            'width' => 1,
4972
          },
4973
          'reg07_td' => {
4974
            'attributes' => {
4975
              'bin_pt' => 0,
4976
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
4977
              'is_floating_block' => 1,
4978
              'is_gateway_port' => 1,
4979
              'must_be_hdl_vector' => 1,
4980
              'period' => 1,
4981
              'port_id' => 0,
4982
              'simulinkName' => 'INOUT_LOGIC/reg07_td',
4983
              'source_block' => 'INOUT_LOGIC',
4984
              'timingConstraint' => 'none',
4985
              'type' => 'UFix_32_0',
4986
            },
4987
            'direction' => 'in',
4988
            'hdlType' => 'std_logic_vector(31 downto 0)',
4989
            'width' => 32,
4990
          },
4991
          'reg07_tv' => {
4992
            'attributes' => {
4993
              'bin_pt' => 0,
4994
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
4995
              'is_floating_block' => 1,
4996
              'is_gateway_port' => 1,
4997
              'must_be_hdl_vector' => 1,
4998
              'period' => 1,
4999
              'port_id' => 0,
5000
              'simulinkName' => 'INOUT_LOGIC/reg07_tv',
5001
              'source_block' => 'INOUT_LOGIC',
5002
              'timingConstraint' => 'none',
5003
              'type' => 'Bool',
5004
            },
5005
            'direction' => 'in',
5006
            'hdlType' => 'std_logic',
5007
            'width' => 1,
5008
          },
5009
          'reg08_rd' => {
5010
            'attributes' => {
5011
              'bin_pt' => 0,
5012
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
5013
              'is_floating_block' => 1,
5014
              'is_gateway_port' => 1,
5015
              'must_be_hdl_vector' => 1,
5016
              'period' => 1,
5017
              'port_id' => 0,
5018
              'simulinkName' => 'INOUT_LOGIC/reg08_rd',
5019
              'source_block' => 'INOUT_LOGIC',
5020
              'timingConstraint' => 'none',
5021
              'type' => 'UFix_32_0',
5022
            },
5023
            'direction' => 'out',
5024
            'hdlType' => 'std_logic_vector(31 downto 0)',
5025
            'width' => 32,
5026
          },
5027
          'reg08_rv' => {
5028
            'attributes' => {
5029
              'bin_pt' => 0,
5030
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
5031
              'is_floating_block' => 1,
5032
              'is_gateway_port' => 1,
5033
              'must_be_hdl_vector' => 1,
5034
              'period' => 1,
5035
              'port_id' => 0,
5036
              'simulinkName' => 'INOUT_LOGIC/reg08_rv',
5037
              'source_block' => 'INOUT_LOGIC',
5038
              'timingConstraint' => 'none',
5039
              'type' => 'UFix_1_0',
5040
            },
5041
            'direction' => 'out',
5042
            'hdlType' => 'std_logic',
5043
            'width' => 1,
5044
          },
5045
          'reg08_td' => {
5046
            'attributes' => {
5047
              'bin_pt' => 0,
5048
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
5049
              'is_floating_block' => 1,
5050
              'is_gateway_port' => 1,
5051
              'must_be_hdl_vector' => 1,
5052
              'period' => 1,
5053
              'port_id' => 0,
5054
              'simulinkName' => 'INOUT_LOGIC/reg08_td',
5055
              'source_block' => 'INOUT_LOGIC',
5056
              'timingConstraint' => 'none',
5057
              'type' => 'UFix_32_0',
5058
            },
5059
            'direction' => 'in',
5060
            'hdlType' => 'std_logic_vector(31 downto 0)',
5061
            'width' => 32,
5062
          },
5063
          'reg08_tv' => {
5064
            'attributes' => {
5065
              'bin_pt' => 0,
5066
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
5067
              'is_floating_block' => 1,
5068
              'is_gateway_port' => 1,
5069
              'must_be_hdl_vector' => 1,
5070
              'period' => 1,
5071
              'port_id' => 0,
5072
              'simulinkName' => 'INOUT_LOGIC/reg08_tv',
5073
              'source_block' => 'INOUT_LOGIC',
5074
              'timingConstraint' => 'none',
5075
              'type' => 'Bool',
5076
            },
5077
            'direction' => 'in',
5078
            'hdlType' => 'std_logic',
5079
            'width' => 1,
5080
          },
5081
          'reg09_rd' => {
5082
            'attributes' => {
5083
              'bin_pt' => 0,
5084
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
5085
              'is_floating_block' => 1,
5086
              'is_gateway_port' => 1,
5087
              'must_be_hdl_vector' => 1,
5088
              'period' => 1,
5089
              'port_id' => 0,
5090
              'simulinkName' => 'INOUT_LOGIC/reg09_rd',
5091
              'source_block' => 'INOUT_LOGIC',
5092
              'timingConstraint' => 'none',
5093
              'type' => 'UFix_32_0',
5094
            },
5095
            'direction' => 'out',
5096
            'hdlType' => 'std_logic_vector(31 downto 0)',
5097
            'width' => 32,
5098
          },
5099
          'reg09_rv' => {
5100
            'attributes' => {
5101
              'bin_pt' => 0,
5102
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
5103
              'is_floating_block' => 1,
5104
              'is_gateway_port' => 1,
5105
              'must_be_hdl_vector' => 1,
5106
              'period' => 1,
5107
              'port_id' => 0,
5108
              'simulinkName' => 'INOUT_LOGIC/reg09_rv',
5109
              'source_block' => 'INOUT_LOGIC',
5110
              'timingConstraint' => 'none',
5111
              'type' => 'UFix_1_0',
5112
            },
5113
            'direction' => 'out',
5114
            'hdlType' => 'std_logic',
5115
            'width' => 1,
5116
          },
5117
          'reg09_td' => {
5118
            'attributes' => {
5119
              'bin_pt' => 0,
5120
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
5121
              'is_floating_block' => 1,
5122
              'is_gateway_port' => 1,
5123
              'must_be_hdl_vector' => 1,
5124
              'period' => 1,
5125
              'port_id' => 0,
5126
              'simulinkName' => 'INOUT_LOGIC/reg09_td',
5127
              'source_block' => 'INOUT_LOGIC',
5128
              'timingConstraint' => 'none',
5129
              'type' => 'UFix_32_0',
5130
            },
5131
            'direction' => 'in',
5132
            'hdlType' => 'std_logic_vector(31 downto 0)',
5133
            'width' => 32,
5134
          },
5135
          'reg09_tv' => {
5136
            'attributes' => {
5137
              'bin_pt' => 0,
5138
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
5139
              'is_floating_block' => 1,
5140
              'is_gateway_port' => 1,
5141
              'must_be_hdl_vector' => 1,
5142
              'period' => 1,
5143
              'port_id' => 0,
5144
              'simulinkName' => 'INOUT_LOGIC/reg09_tv',
5145
              'source_block' => 'INOUT_LOGIC',
5146
              'timingConstraint' => 'none',
5147
              'type' => 'Bool',
5148
            },
5149
            'direction' => 'in',
5150
            'hdlType' => 'std_logic',
5151
            'width' => 1,
5152
          },
5153
          'reg10_rd' => {
5154
            'attributes' => {
5155
              'bin_pt' => 0,
5156
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
5157
              'is_floating_block' => 1,
5158
              'is_gateway_port' => 1,
5159
              'must_be_hdl_vector' => 1,
5160
              'period' => 1,
5161
              'port_id' => 0,
5162
              'simulinkName' => 'INOUT_LOGIC/reg10_rd',
5163
              'source_block' => 'INOUT_LOGIC',
5164
              'timingConstraint' => 'none',
5165
              'type' => 'UFix_32_0',
5166
            },
5167
            'direction' => 'out',
5168
            'hdlType' => 'std_logic_vector(31 downto 0)',
5169
            'width' => 32,
5170
          },
5171
          'reg10_rv' => {
5172
            'attributes' => {
5173
              'bin_pt' => 0,
5174
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
5175
              'is_floating_block' => 1,
5176
              'is_gateway_port' => 1,
5177
              'must_be_hdl_vector' => 1,
5178
              'period' => 1,
5179
              'port_id' => 0,
5180
              'simulinkName' => 'INOUT_LOGIC/reg10_rv',
5181
              'source_block' => 'INOUT_LOGIC',
5182
              'timingConstraint' => 'none',
5183
              'type' => 'UFix_1_0',
5184
            },
5185
            'direction' => 'out',
5186
            'hdlType' => 'std_logic',
5187
            'width' => 1,
5188
          },
5189
          'reg10_td' => {
5190
            'attributes' => {
5191
              'bin_pt' => 0,
5192
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
5193
              'is_floating_block' => 1,
5194
              'is_gateway_port' => 1,
5195
              'must_be_hdl_vector' => 1,
5196
              'period' => 1,
5197
              'port_id' => 0,
5198
              'simulinkName' => 'INOUT_LOGIC/reg10_td',
5199
              'source_block' => 'INOUT_LOGIC',
5200
              'timingConstraint' => 'none',
5201
              'type' => 'UFix_32_0',
5202
            },
5203
            'direction' => 'in',
5204
            'hdlType' => 'std_logic_vector(31 downto 0)',
5205
            'width' => 32,
5206
          },
5207
          'reg10_tv' => {
5208
            'attributes' => {
5209
              'bin_pt' => 0,
5210
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
5211
              'is_floating_block' => 1,
5212
              'is_gateway_port' => 1,
5213
              'must_be_hdl_vector' => 1,
5214
              'period' => 1,
5215
              'port_id' => 0,
5216
              'simulinkName' => 'INOUT_LOGIC/reg10_tv',
5217
              'source_block' => 'INOUT_LOGIC',
5218
              'timingConstraint' => 'none',
5219
              'type' => 'Bool',
5220
            },
5221
            'direction' => 'in',
5222
            'hdlType' => 'std_logic',
5223
            'width' => 1,
5224
          },
5225
          'reg11_rd' => {
5226
            'attributes' => {
5227
              'bin_pt' => 0,
5228
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
5229
              'is_floating_block' => 1,
5230
              'is_gateway_port' => 1,
5231
              'must_be_hdl_vector' => 1,
5232
              'period' => 1,
5233
              'port_id' => 0,
5234
              'simulinkName' => 'INOUT_LOGIC/reg11_rd',
5235
              'source_block' => 'INOUT_LOGIC',
5236
              'timingConstraint' => 'none',
5237
              'type' => 'UFix_32_0',
5238
            },
5239
            'direction' => 'out',
5240
            'hdlType' => 'std_logic_vector(31 downto 0)',
5241
            'width' => 32,
5242
          },
5243
          'reg11_rv' => {
5244
            'attributes' => {
5245
              'bin_pt' => 0,
5246
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
5247
              'is_floating_block' => 1,
5248
              'is_gateway_port' => 1,
5249
              'must_be_hdl_vector' => 1,
5250
              'period' => 1,
5251
              'port_id' => 0,
5252
              'simulinkName' => 'INOUT_LOGIC/reg11_rv',
5253
              'source_block' => 'INOUT_LOGIC',
5254
              'timingConstraint' => 'none',
5255
              'type' => 'UFix_1_0',
5256
            },
5257
            'direction' => 'out',
5258
            'hdlType' => 'std_logic',
5259
            'width' => 1,
5260
          },
5261
          'reg11_td' => {
5262
            'attributes' => {
5263
              'bin_pt' => 0,
5264
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
5265
              'is_floating_block' => 1,
5266
              'is_gateway_port' => 1,
5267
              'must_be_hdl_vector' => 1,
5268
              'period' => 1,
5269
              'port_id' => 0,
5270
              'simulinkName' => 'INOUT_LOGIC/reg11_td',
5271
              'source_block' => 'INOUT_LOGIC',
5272
              'timingConstraint' => 'none',
5273
              'type' => 'UFix_32_0',
5274
            },
5275
            'direction' => 'in',
5276
            'hdlType' => 'std_logic_vector(31 downto 0)',
5277
            'width' => 32,
5278
          },
5279
          'reg11_tv' => {
5280
            'attributes' => {
5281
              'bin_pt' => 0,
5282
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
5283
              'is_floating_block' => 1,
5284
              'is_gateway_port' => 1,
5285
              'must_be_hdl_vector' => 1,
5286
              'period' => 1,
5287
              'port_id' => 0,
5288
              'simulinkName' => 'INOUT_LOGIC/reg11_tv',
5289
              'source_block' => 'INOUT_LOGIC',
5290
              'timingConstraint' => 'none',
5291
              'type' => 'Bool',
5292
            },
5293
            'direction' => 'in',
5294
            'hdlType' => 'std_logic',
5295
            'width' => 1,
5296
          },
5297
          'reg12_rd' => {
5298
            'attributes' => {
5299
              'bin_pt' => 0,
5300
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
5301
              'is_floating_block' => 1,
5302
              'is_gateway_port' => 1,
5303
              'must_be_hdl_vector' => 1,
5304
              'period' => 1,
5305
              'port_id' => 0,
5306
              'simulinkName' => 'INOUT_LOGIC/reg12_rd',
5307
              'source_block' => 'INOUT_LOGIC',
5308
              'timingConstraint' => 'none',
5309
              'type' => 'UFix_32_0',
5310
            },
5311
            'direction' => 'out',
5312
            'hdlType' => 'std_logic_vector(31 downto 0)',
5313
            'width' => 32,
5314
          },
5315
          'reg12_rv' => {
5316
            'attributes' => {
5317
              'bin_pt' => 0,
5318
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
5319
              'is_floating_block' => 1,
5320
              'is_gateway_port' => 1,
5321
              'must_be_hdl_vector' => 1,
5322
              'period' => 1,
5323
              'port_id' => 0,
5324
              'simulinkName' => 'INOUT_LOGIC/reg12_rv',
5325
              'source_block' => 'INOUT_LOGIC',
5326
              'timingConstraint' => 'none',
5327
              'type' => 'UFix_1_0',
5328
            },
5329
            'direction' => 'out',
5330
            'hdlType' => 'std_logic',
5331
            'width' => 1,
5332
          },
5333
          'reg12_td' => {
5334
            'attributes' => {
5335
              'bin_pt' => 0,
5336
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
5337
              'is_floating_block' => 1,
5338
              'is_gateway_port' => 1,
5339
              'must_be_hdl_vector' => 1,
5340
              'period' => 1,
5341
              'port_id' => 0,
5342
              'simulinkName' => 'INOUT_LOGIC/reg12_td',
5343
              'source_block' => 'INOUT_LOGIC',
5344
              'timingConstraint' => 'none',
5345
              'type' => 'UFix_32_0',
5346
            },
5347
            'direction' => 'in',
5348
            'hdlType' => 'std_logic_vector(31 downto 0)',
5349
            'width' => 32,
5350
          },
5351
          'reg12_tv' => {
5352
            'attributes' => {
5353
              'bin_pt' => 0,
5354
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
5355
              'is_floating_block' => 1,
5356
              'is_gateway_port' => 1,
5357
              'must_be_hdl_vector' => 1,
5358
              'period' => 1,
5359
              'port_id' => 0,
5360
              'simulinkName' => 'INOUT_LOGIC/reg12_tv',
5361
              'source_block' => 'INOUT_LOGIC',
5362
              'timingConstraint' => 'none',
5363
              'type' => 'Bool',
5364
            },
5365
            'direction' => 'in',
5366
            'hdlType' => 'std_logic',
5367
            'width' => 1,
5368
          },
5369
          'reg13_rd' => {
5370
            'attributes' => {
5371
              'bin_pt' => 0,
5372
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
5373
              'is_floating_block' => 1,
5374
              'is_gateway_port' => 1,
5375
              'must_be_hdl_vector' => 1,
5376
              'period' => 1,
5377
              'port_id' => 0,
5378
              'simulinkName' => 'INOUT_LOGIC/reg13_rd',
5379
              'source_block' => 'INOUT_LOGIC',
5380
              'timingConstraint' => 'none',
5381
              'type' => 'UFix_32_0',
5382
            },
5383
            'direction' => 'out',
5384
            'hdlType' => 'std_logic_vector(31 downto 0)',
5385
            'width' => 32,
5386
          },
5387
          'reg13_rv' => {
5388
            'attributes' => {
5389
              'bin_pt' => 0,
5390
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
5391
              'is_floating_block' => 1,
5392
              'is_gateway_port' => 1,
5393
              'must_be_hdl_vector' => 1,
5394
              'period' => 1,
5395
              'port_id' => 0,
5396
              'simulinkName' => 'INOUT_LOGIC/reg13_rv',
5397
              'source_block' => 'INOUT_LOGIC',
5398
              'timingConstraint' => 'none',
5399
              'type' => 'UFix_1_0',
5400
            },
5401
            'direction' => 'out',
5402
            'hdlType' => 'std_logic',
5403
            'width' => 1,
5404
          },
5405
          'reg13_td' => {
5406
            'attributes' => {
5407
              'bin_pt' => 0,
5408
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
5409
              'is_floating_block' => 1,
5410
              'is_gateway_port' => 1,
5411
              'must_be_hdl_vector' => 1,
5412
              'period' => 1,
5413
              'port_id' => 0,
5414
              'simulinkName' => 'INOUT_LOGIC/reg13_td',
5415
              'source_block' => 'INOUT_LOGIC',
5416
              'timingConstraint' => 'none',
5417
              'type' => 'UFix_32_0',
5418
            },
5419
            'direction' => 'in',
5420
            'hdlType' => 'std_logic_vector(31 downto 0)',
5421
            'width' => 32,
5422
          },
5423
          'reg13_tv' => {
5424
            'attributes' => {
5425
              'bin_pt' => 0,
5426
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
5427
              'is_floating_block' => 1,
5428
              'is_gateway_port' => 1,
5429
              'must_be_hdl_vector' => 1,
5430
              'period' => 1,
5431
              'port_id' => 0,
5432
              'simulinkName' => 'INOUT_LOGIC/reg13_tv',
5433
              'source_block' => 'INOUT_LOGIC',
5434
              'timingConstraint' => 'none',
5435
              'type' => 'Bool',
5436
            },
5437
            'direction' => 'in',
5438
            'hdlType' => 'std_logic',
5439
            'width' => 1,
5440
          },
5441
          'reg14_rd' => {
5442
            'attributes' => {
5443
              'bin_pt' => 0,
5444
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
5445
              'is_floating_block' => 1,
5446
              'is_gateway_port' => 1,
5447
              'must_be_hdl_vector' => 1,
5448
              'period' => 1,
5449
              'port_id' => 0,
5450
              'simulinkName' => 'INOUT_LOGIC/reg14_rd',
5451
              'source_block' => 'INOUT_LOGIC',
5452
              'timingConstraint' => 'none',
5453
              'type' => 'UFix_32_0',
5454
            },
5455
            'direction' => 'out',
5456
            'hdlType' => 'std_logic_vector(31 downto 0)',
5457
            'width' => 32,
5458
          },
5459
          'reg14_rv' => {
5460
            'attributes' => {
5461
              'bin_pt' => 0,
5462
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
5463
              'is_floating_block' => 1,
5464
              'is_gateway_port' => 1,
5465
              'must_be_hdl_vector' => 1,
5466
              'period' => 1,
5467
              'port_id' => 0,
5468
              'simulinkName' => 'INOUT_LOGIC/reg14_rv',
5469
              'source_block' => 'INOUT_LOGIC',
5470
              'timingConstraint' => 'none',
5471
              'type' => 'UFix_1_0',
5472
            },
5473
            'direction' => 'out',
5474
            'hdlType' => 'std_logic',
5475
            'width' => 1,
5476
          },
5477
          'reg14_td' => {
5478
            'attributes' => {
5479
              'bin_pt' => 0,
5480
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
5481
              'is_floating_block' => 1,
5482
              'is_gateway_port' => 1,
5483
              'must_be_hdl_vector' => 1,
5484
              'period' => 1,
5485
              'port_id' => 0,
5486
              'simulinkName' => 'INOUT_LOGIC/reg14_td',
5487
              'source_block' => 'INOUT_LOGIC',
5488
              'timingConstraint' => 'none',
5489
              'type' => 'UFix_32_0',
5490
            },
5491
            'direction' => 'in',
5492
            'hdlType' => 'std_logic_vector(31 downto 0)',
5493
            'width' => 32,
5494
          },
5495
          'reg14_tv' => {
5496
            'attributes' => {
5497
              'bin_pt' => 0,
5498
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
5499
              'is_floating_block' => 1,
5500
              'is_gateway_port' => 1,
5501
              'must_be_hdl_vector' => 1,
5502
              'period' => 1,
5503
              'port_id' => 0,
5504
              'simulinkName' => 'INOUT_LOGIC/reg14_tv',
5505
              'source_block' => 'INOUT_LOGIC',
5506
              'timingConstraint' => 'none',
5507
              'type' => 'Bool',
5508
            },
5509
            'direction' => 'in',
5510
            'hdlType' => 'std_logic',
5511
            'width' => 1,
5512
          },
5513
        },
5514
      },
5515
      'entityName' => 'inout_logic',
5516
    },
5517
    'reg01_rd' => {
5518
      'connections' => {
5519
        'reg01_rd' => 'from_register3_data_out_net_x0',
5520
      },
5521
      'entity' => {
5522
        'attributes' => {
5523
          'isGateway' => 1,
5524
          'is_floating_block' => 1,
5525
        },
5526
        'entityName' => 'reg01_rd',
5527
        'ports' => {
5528
          'reg01_rd' => {
5529
            'attributes' => {
5530
              'bin_pt' => 0,
5531
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
5532
              'is_floating_block' => 1,
5533
              'is_gateway_port' => 1,
5534
              'must_be_hdl_vector' => 1,
5535
              'period' => 1,
5536
              'port_id' => 0,
5537
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd/reg01_rd',
5538
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd',
5539
              'timingConstraint' => 'none',
5540
              'type' => 'UFix_32_0',
5541
            },
5542
            'direction' => 'in',
5543
            'hdlType' => 'std_logic_vector(31 downto 0)',
5544
            'width' => 32,
5545
          },
5546
        },
5547
      },
5548
      'entityName' => 'reg01_rd',
5549
    },
5550
    'reg01_rv' => {
5551
      'connections' => {
5552
        'reg01_rv' => 'from_register1_data_out_net_x0',
5553
      },
5554
      'entity' => {
5555
        'attributes' => {
5556
          'isGateway' => 1,
5557
          'is_floating_block' => 1,
5558
        },
5559
        'entityName' => 'reg01_rv',
5560
        'ports' => {
5561
          'reg01_rv' => {
5562
            'attributes' => {
5563
              'bin_pt' => 0,
5564
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
5565
              'is_floating_block' => 1,
5566
              'is_gateway_port' => 1,
5567
              'must_be_hdl_vector' => 1,
5568
              'period' => 1,
5569
              'port_id' => 0,
5570
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv/reg01_rv',
5571
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv',
5572
              'timingConstraint' => 'none',
5573
              'type' => 'UFix_1_0',
5574
            },
5575
            'direction' => 'in',
5576
            'hdlType' => 'std_logic',
5577
            'width' => 1,
5578
          },
5579
        },
5580
      },
5581
      'entityName' => 'reg01_rv',
5582
    },
5583
    'reg01_td' => {
5584
      'connections' => {
5585
        'reg01_td' => 'reg01_td_net',
5586
      },
5587
      'entity' => {
5588
        'attributes' => {
5589
          'isGateway' => 1,
5590
          'is_floating_block' => 1,
5591
        },
5592
        'entityName' => 'reg01_td',
5593
        'ports' => {
5594
          'reg01_td' => {
5595
            'attributes' => {
5596
              'bin_pt' => 0,
5597
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
5598
              'is_floating_block' => 1,
5599
              'is_gateway_port' => 1,
5600
              'must_be_hdl_vector' => 1,
5601
              'period' => 1,
5602
              'port_id' => 0,
5603
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td/reg01_td',
5604
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td',
5605
              'timingConstraint' => 'none',
5606
              'type' => 'UFix_32_0',
5607
            },
5608
            'direction' => 'out',
5609
            'hdlType' => 'std_logic_vector(31 downto 0)',
5610
            'width' => 32,
5611
          },
5612
        },
5613
      },
5614
      'entityName' => 'reg01_td',
5615
    },
5616
    'reg01_tv' => {
5617
      'connections' => {
5618
        'reg01_tv' => 'reg01_tv_net',
5619
      },
5620
      'entity' => {
5621
        'attributes' => {
5622
          'isGateway' => 1,
5623
          'is_floating_block' => 1,
5624
        },
5625
        'entityName' => 'reg01_tv',
5626
        'ports' => {
5627
          'reg01_tv' => {
5628
            'attributes' => {
5629
              'bin_pt' => 0,
5630
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
5631
              'is_floating_block' => 1,
5632
              'is_gateway_port' => 1,
5633
              'must_be_hdl_vector' => 1,
5634
              'period' => 1,
5635
              'port_id' => 0,
5636
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv/reg01_tv',
5637
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv',
5638
              'timingConstraint' => 'none',
5639
              'type' => 'Bool',
5640
            },
5641
            'direction' => 'out',
5642
            'hdlType' => 'std_logic',
5643
            'width' => 1,
5644
          },
5645
        },
5646
      },
5647
      'entityName' => 'reg01_tv',
5648
    },
5649
    'reg02_rd' => {
5650
      'connections' => {
5651
        'reg02_rd' => 'from_register5_data_out_net_x0',
5652
      },
5653
      'entity' => {
5654
        'attributes' => {
5655
          'isGateway' => 1,
5656
          'is_floating_block' => 1,
5657
        },
5658
        'entityName' => 'reg02_rd',
5659
        'ports' => {
5660
          'reg02_rd' => {
5661
            'attributes' => {
5662
              'bin_pt' => 0,
5663
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
5664
              'is_floating_block' => 1,
5665
              'is_gateway_port' => 1,
5666
              'must_be_hdl_vector' => 1,
5667
              'period' => 1,
5668
              'port_id' => 0,
5669
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd/reg02_rd',
5670
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd',
5671
              'timingConstraint' => 'none',
5672
              'type' => 'UFix_32_0',
5673
            },
5674
            'direction' => 'in',
5675
            'hdlType' => 'std_logic_vector(31 downto 0)',
5676
            'width' => 32,
5677
          },
5678
        },
5679
      },
5680
      'entityName' => 'reg02_rd',
5681
    },
5682
    'reg02_rv' => {
5683
      'connections' => {
5684
        'reg02_rv' => 'from_register2_data_out_net_x0',
5685
      },
5686
      'entity' => {
5687
        'attributes' => {
5688
          'isGateway' => 1,
5689
          'is_floating_block' => 1,
5690
        },
5691
        'entityName' => 'reg02_rv',
5692
        'ports' => {
5693
          'reg02_rv' => {
5694
            'attributes' => {
5695
              'bin_pt' => 0,
5696
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
5697
              'is_floating_block' => 1,
5698
              'is_gateway_port' => 1,
5699
              'must_be_hdl_vector' => 1,
5700
              'period' => 1,
5701
              'port_id' => 0,
5702
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv/reg02_rv',
5703
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv',
5704
              'timingConstraint' => 'none',
5705
              'type' => 'UFix_1_0',
5706
            },
5707
            'direction' => 'in',
5708
            'hdlType' => 'std_logic',
5709
            'width' => 1,
5710
          },
5711
        },
5712
      },
5713
      'entityName' => 'reg02_rv',
5714
    },
5715
    'reg02_td' => {
5716
      'connections' => {
5717
        'reg02_td' => 'reg02_td_net',
5718
      },
5719
      'entity' => {
5720
        'attributes' => {
5721
          'isGateway' => 1,
5722
          'is_floating_block' => 1,
5723
        },
5724
        'entityName' => 'reg02_td',
5725
        'ports' => {
5726
          'reg02_td' => {
5727
            'attributes' => {
5728
              'bin_pt' => 0,
5729
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
5730
              'is_floating_block' => 1,
5731
              'is_gateway_port' => 1,
5732
              'must_be_hdl_vector' => 1,
5733
              'period' => 1,
5734
              'port_id' => 0,
5735
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td/reg02_td',
5736
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td',
5737
              'timingConstraint' => 'none',
5738
              'type' => 'UFix_32_0',
5739
            },
5740
            'direction' => 'out',
5741
            'hdlType' => 'std_logic_vector(31 downto 0)',
5742
            'width' => 32,
5743
          },
5744
        },
5745
      },
5746
      'entityName' => 'reg02_td',
5747
    },
5748
    'reg02_tv' => {
5749
      'connections' => {
5750
        'reg02_tv' => 'reg02_tv_net',
5751
      },
5752
      'entity' => {
5753
        'attributes' => {
5754
          'isGateway' => 1,
5755
          'is_floating_block' => 1,
5756
        },
5757
        'entityName' => 'reg02_tv',
5758
        'ports' => {
5759
          'reg02_tv' => {
5760
            'attributes' => {
5761
              'bin_pt' => 0,
5762
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
5763
              'is_floating_block' => 1,
5764
              'is_gateway_port' => 1,
5765
              'must_be_hdl_vector' => 1,
5766
              'period' => 1,
5767
              'port_id' => 0,
5768
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv/reg02_tv',
5769
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv',
5770
              'timingConstraint' => 'none',
5771
              'type' => 'Bool',
5772
            },
5773
            'direction' => 'out',
5774
            'hdlType' => 'std_logic',
5775
            'width' => 1,
5776
          },
5777
        },
5778
      },
5779
      'entityName' => 'reg02_tv',
5780
    },
5781
    'reg03_rd' => {
5782
      'connections' => {
5783
        'reg03_rd' => 'from_register7_data_out_net_x0',
5784
      },
5785
      'entity' => {
5786
        'attributes' => {
5787
          'isGateway' => 1,
5788
          'is_floating_block' => 1,
5789
        },
5790
        'entityName' => 'reg03_rd',
5791
        'ports' => {
5792
          'reg03_rd' => {
5793
            'attributes' => {
5794
              'bin_pt' => 0,
5795
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
5796
              'is_floating_block' => 1,
5797
              'is_gateway_port' => 1,
5798
              'must_be_hdl_vector' => 1,
5799
              'period' => 1,
5800
              'port_id' => 0,
5801
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd/reg03_rd',
5802
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd',
5803
              'timingConstraint' => 'none',
5804
              'type' => 'UFix_32_0',
5805
            },
5806
            'direction' => 'in',
5807
            'hdlType' => 'std_logic_vector(31 downto 0)',
5808
            'width' => 32,
5809
          },
5810
        },
5811
      },
5812
      'entityName' => 'reg03_rd',
5813
    },
5814
    'reg03_rv' => {
5815
      'connections' => {
5816
        'reg03_rv' => 'from_register6_data_out_net_x0',
5817
      },
5818
      'entity' => {
5819
        'attributes' => {
5820
          'isGateway' => 1,
5821
          'is_floating_block' => 1,
5822
        },
5823
        'entityName' => 'reg03_rv',
5824
        'ports' => {
5825
          'reg03_rv' => {
5826
            'attributes' => {
5827
              'bin_pt' => 0,
5828
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
5829
              'is_floating_block' => 1,
5830
              'is_gateway_port' => 1,
5831
              'must_be_hdl_vector' => 1,
5832
              'period' => 1,
5833
              'port_id' => 0,
5834
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv/reg03_rv',
5835
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv',
5836
              'timingConstraint' => 'none',
5837
              'type' => 'UFix_1_0',
5838
            },
5839
            'direction' => 'in',
5840
            'hdlType' => 'std_logic',
5841
            'width' => 1,
5842
          },
5843
        },
5844
      },
5845
      'entityName' => 'reg03_rv',
5846
    },
5847
    'reg03_td' => {
5848
      'connections' => {
5849
        'reg03_td' => 'reg03_td_net',
5850
      },
5851
      'entity' => {
5852
        'attributes' => {
5853
          'isGateway' => 1,
5854
          'is_floating_block' => 1,
5855
        },
5856
        'entityName' => 'reg03_td',
5857
        'ports' => {
5858
          'reg03_td' => {
5859
            'attributes' => {
5860
              'bin_pt' => 0,
5861
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
5862
              'is_floating_block' => 1,
5863
              'is_gateway_port' => 1,
5864
              'must_be_hdl_vector' => 1,
5865
              'period' => 1,
5866
              'port_id' => 0,
5867
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td/reg03_td',
5868
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td',
5869
              'timingConstraint' => 'none',
5870
              'type' => 'UFix_32_0',
5871
            },
5872
            'direction' => 'out',
5873
            'hdlType' => 'std_logic_vector(31 downto 0)',
5874
            'width' => 32,
5875
          },
5876
        },
5877
      },
5878
      'entityName' => 'reg03_td',
5879
    },
5880
    'reg03_tv' => {
5881
      'connections' => {
5882
        'reg03_tv' => 'reg03_tv_net',
5883
      },
5884
      'entity' => {
5885
        'attributes' => {
5886
          'isGateway' => 1,
5887
          'is_floating_block' => 1,
5888
        },
5889
        'entityName' => 'reg03_tv',
5890
        'ports' => {
5891
          'reg03_tv' => {
5892
            'attributes' => {
5893
              'bin_pt' => 0,
5894
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
5895
              'is_floating_block' => 1,
5896
              'is_gateway_port' => 1,
5897
              'must_be_hdl_vector' => 1,
5898
              'period' => 1,
5899
              'port_id' => 0,
5900
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv/reg03_tv',
5901
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv',
5902
              'timingConstraint' => 'none',
5903
              'type' => 'Bool',
5904
            },
5905
            'direction' => 'out',
5906
            'hdlType' => 'std_logic',
5907
            'width' => 1,
5908
          },
5909
        },
5910
      },
5911
      'entityName' => 'reg03_tv',
5912
    },
5913
    'reg04_rd' => {
5914
      'connections' => {
5915
        'reg04_rd' => 'from_register8_data_out_net_x0',
5916
      },
5917
      'entity' => {
5918
        'attributes' => {
5919
          'isGateway' => 1,
5920
          'is_floating_block' => 1,
5921
        },
5922
        'entityName' => 'reg04_rd',
5923
        'ports' => {
5924
          'reg04_rd' => {
5925
            'attributes' => {
5926
              'bin_pt' => 0,
5927
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
5928
              'is_floating_block' => 1,
5929
              'is_gateway_port' => 1,
5930
              'must_be_hdl_vector' => 1,
5931
              'period' => 1,
5932
              'port_id' => 0,
5933
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd/reg04_rd',
5934
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd',
5935
              'timingConstraint' => 'none',
5936
              'type' => 'UFix_32_0',
5937
            },
5938
            'direction' => 'in',
5939
            'hdlType' => 'std_logic_vector(31 downto 0)',
5940
            'width' => 32,
5941
          },
5942
        },
5943
      },
5944
      'entityName' => 'reg04_rd',
5945
    },
5946
    'reg04_rv' => {
5947
      'connections' => {
5948
        'reg04_rv' => 'from_register4_data_out_net_x0',
5949
      },
5950
      'entity' => {
5951
        'attributes' => {
5952
          'isGateway' => 1,
5953
          'is_floating_block' => 1,
5954
        },
5955
        'entityName' => 'reg04_rv',
5956
        'ports' => {
5957
          'reg04_rv' => {
5958
            'attributes' => {
5959
              'bin_pt' => 0,
5960
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
5961
              'is_floating_block' => 1,
5962
              'is_gateway_port' => 1,
5963
              'must_be_hdl_vector' => 1,
5964
              'period' => 1,
5965
              'port_id' => 0,
5966
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv/reg04_rv',
5967
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv',
5968
              'timingConstraint' => 'none',
5969
              'type' => 'UFix_1_0',
5970
            },
5971
            'direction' => 'in',
5972
            'hdlType' => 'std_logic',
5973
            'width' => 1,
5974
          },
5975
        },
5976
      },
5977
      'entityName' => 'reg04_rv',
5978
    },
5979
    'reg04_td' => {
5980
      'connections' => {
5981
        'reg04_td' => 'reg04_td_net',
5982
      },
5983
      'entity' => {
5984
        'attributes' => {
5985
          'isGateway' => 1,
5986
          'is_floating_block' => 1,
5987
        },
5988
        'entityName' => 'reg04_td',
5989
        'ports' => {
5990
          'reg04_td' => {
5991
            'attributes' => {
5992
              'bin_pt' => 0,
5993
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
5994
              'is_floating_block' => 1,
5995
              'is_gateway_port' => 1,
5996
              'must_be_hdl_vector' => 1,
5997
              'period' => 1,
5998
              'port_id' => 0,
5999
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td/reg04_td',
6000
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td',
6001
              'timingConstraint' => 'none',
6002
              'type' => 'UFix_32_0',
6003
            },
6004
            'direction' => 'out',
6005
            'hdlType' => 'std_logic_vector(31 downto 0)',
6006
            'width' => 32,
6007
          },
6008
        },
6009
      },
6010
      'entityName' => 'reg04_td',
6011
    },
6012
    'reg04_tv' => {
6013
      'connections' => {
6014
        'reg04_tv' => 'reg04_tv_net',
6015
      },
6016
      'entity' => {
6017
        'attributes' => {
6018
          'isGateway' => 1,
6019
          'is_floating_block' => 1,
6020
        },
6021
        'entityName' => 'reg04_tv',
6022
        'ports' => {
6023
          'reg04_tv' => {
6024
            'attributes' => {
6025
              'bin_pt' => 0,
6026
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
6027
              'is_floating_block' => 1,
6028
              'is_gateway_port' => 1,
6029
              'must_be_hdl_vector' => 1,
6030
              'period' => 1,
6031
              'port_id' => 0,
6032
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv/reg04_tv',
6033
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv',
6034
              'timingConstraint' => 'none',
6035
              'type' => 'Bool',
6036
            },
6037
            'direction' => 'out',
6038
            'hdlType' => 'std_logic',
6039
            'width' => 1,
6040
          },
6041
        },
6042
      },
6043
      'entityName' => 'reg04_tv',
6044
    },
6045
    'reg05_rd' => {
6046
      'connections' => {
6047
        'reg05_rd' => 'from_register10_data_out_net_x0',
6048
      },
6049
      'entity' => {
6050
        'attributes' => {
6051
          'isGateway' => 1,
6052
          'is_floating_block' => 1,
6053
        },
6054
        'entityName' => 'reg05_rd',
6055
        'ports' => {
6056
          'reg05_rd' => {
6057
            'attributes' => {
6058
              'bin_pt' => 0,
6059
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
6060
              'is_floating_block' => 1,
6061
              'is_gateway_port' => 1,
6062
              'must_be_hdl_vector' => 1,
6063
              'period' => 1,
6064
              'port_id' => 0,
6065
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd/reg05_rd',
6066
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd',
6067
              'timingConstraint' => 'none',
6068
              'type' => 'UFix_32_0',
6069
            },
6070
            'direction' => 'in',
6071
            'hdlType' => 'std_logic_vector(31 downto 0)',
6072
            'width' => 32,
6073
          },
6074
        },
6075
      },
6076
      'entityName' => 'reg05_rd',
6077
    },
6078
    'reg05_rv' => {
6079
      'connections' => {
6080
        'reg05_rv' => 'from_register9_data_out_net_x0',
6081
      },
6082
      'entity' => {
6083
        'attributes' => {
6084
          'isGateway' => 1,
6085
          'is_floating_block' => 1,
6086
        },
6087
        'entityName' => 'reg05_rv',
6088
        'ports' => {
6089
          'reg05_rv' => {
6090
            'attributes' => {
6091
              'bin_pt' => 0,
6092
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
6093
              'is_floating_block' => 1,
6094
              'is_gateway_port' => 1,
6095
              'must_be_hdl_vector' => 1,
6096
              'period' => 1,
6097
              'port_id' => 0,
6098
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv/reg05_rv',
6099
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv',
6100
              'timingConstraint' => 'none',
6101
              'type' => 'UFix_1_0',
6102
            },
6103
            'direction' => 'in',
6104
            'hdlType' => 'std_logic',
6105
            'width' => 1,
6106
          },
6107
        },
6108
      },
6109
      'entityName' => 'reg05_rv',
6110
    },
6111
    'reg05_td' => {
6112
      'connections' => {
6113
        'reg05_td' => 'reg05_td_net',
6114
      },
6115
      'entity' => {
6116
        'attributes' => {
6117
          'isGateway' => 1,
6118
          'is_floating_block' => 1,
6119
        },
6120
        'entityName' => 'reg05_td',
6121
        'ports' => {
6122
          'reg05_td' => {
6123
            'attributes' => {
6124
              'bin_pt' => 0,
6125
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
6126
              'is_floating_block' => 1,
6127
              'is_gateway_port' => 1,
6128
              'must_be_hdl_vector' => 1,
6129
              'period' => 1,
6130
              'port_id' => 0,
6131
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td/reg05_td',
6132
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td',
6133
              'timingConstraint' => 'none',
6134
              'type' => 'UFix_32_0',
6135
            },
6136
            'direction' => 'out',
6137
            'hdlType' => 'std_logic_vector(31 downto 0)',
6138
            'width' => 32,
6139
          },
6140
        },
6141
      },
6142
      'entityName' => 'reg05_td',
6143
    },
6144
    'reg05_tv' => {
6145
      'connections' => {
6146
        'reg05_tv' => 'reg05_tv_net',
6147
      },
6148
      'entity' => {
6149
        'attributes' => {
6150
          'isGateway' => 1,
6151
          'is_floating_block' => 1,
6152
        },
6153
        'entityName' => 'reg05_tv',
6154
        'ports' => {
6155
          'reg05_tv' => {
6156
            'attributes' => {
6157
              'bin_pt' => 0,
6158
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
6159
              'is_floating_block' => 1,
6160
              'is_gateway_port' => 1,
6161
              'must_be_hdl_vector' => 1,
6162
              'period' => 1,
6163
              'port_id' => 0,
6164
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv/reg05_tv',
6165
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv',
6166
              'timingConstraint' => 'none',
6167
              'type' => 'Bool',
6168
            },
6169
            'direction' => 'out',
6170
            'hdlType' => 'std_logic',
6171
            'width' => 1,
6172
          },
6173
        },
6174
      },
6175
      'entityName' => 'reg05_tv',
6176
    },
6177
    'reg06_rd' => {
6178
      'connections' => {
6179
        'reg06_rd' => 'from_register11_data_out_net_x0',
6180
      },
6181
      'entity' => {
6182
        'attributes' => {
6183
          'isGateway' => 1,
6184
          'is_floating_block' => 1,
6185
        },
6186
        'entityName' => 'reg06_rd',
6187
        'ports' => {
6188
          'reg06_rd' => {
6189
            'attributes' => {
6190
              'bin_pt' => 0,
6191
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
6192
              'is_floating_block' => 1,
6193
              'is_gateway_port' => 1,
6194
              'must_be_hdl_vector' => 1,
6195
              'period' => 1,
6196
              'port_id' => 0,
6197
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd/reg06_rd',
6198
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd',
6199
              'timingConstraint' => 'none',
6200
              'type' => 'UFix_32_0',
6201
            },
6202
            'direction' => 'in',
6203
            'hdlType' => 'std_logic_vector(31 downto 0)',
6204
            'width' => 32,
6205
          },
6206
        },
6207
      },
6208
      'entityName' => 'reg06_rd',
6209
    },
6210
    'reg06_rv' => {
6211
      'connections' => {
6212
        'reg06_rv' => 'from_register12_data_out_net_x0',
6213
      },
6214
      'entity' => {
6215
        'attributes' => {
6216
          'isGateway' => 1,
6217
          'is_floating_block' => 1,
6218
        },
6219
        'entityName' => 'reg06_rv',
6220
        'ports' => {
6221
          'reg06_rv' => {
6222
            'attributes' => {
6223
              'bin_pt' => 0,
6224
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
6225
              'is_floating_block' => 1,
6226
              'is_gateway_port' => 1,
6227
              'must_be_hdl_vector' => 1,
6228
              'period' => 1,
6229
              'port_id' => 0,
6230
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv/reg06_rv',
6231
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv',
6232
              'timingConstraint' => 'none',
6233
              'type' => 'UFix_1_0',
6234
            },
6235
            'direction' => 'in',
6236
            'hdlType' => 'std_logic',
6237
            'width' => 1,
6238
          },
6239
        },
6240
      },
6241
      'entityName' => 'reg06_rv',
6242
    },
6243
    'reg06_td' => {
6244
      'connections' => {
6245
        'reg06_td' => 'reg06_td_net',
6246
      },
6247
      'entity' => {
6248
        'attributes' => {
6249
          'isGateway' => 1,
6250
          'is_floating_block' => 1,
6251
        },
6252
        'entityName' => 'reg06_td',
6253
        'ports' => {
6254
          'reg06_td' => {
6255
            'attributes' => {
6256
              'bin_pt' => 0,
6257
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
6258
              'is_floating_block' => 1,
6259
              'is_gateway_port' => 1,
6260
              'must_be_hdl_vector' => 1,
6261
              'period' => 1,
6262
              'port_id' => 0,
6263
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td/reg06_td',
6264
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td',
6265
              'timingConstraint' => 'none',
6266
              'type' => 'UFix_32_0',
6267
            },
6268
            'direction' => 'out',
6269
            'hdlType' => 'std_logic_vector(31 downto 0)',
6270
            'width' => 32,
6271
          },
6272
        },
6273
      },
6274
      'entityName' => 'reg06_td',
6275
    },
6276
    'reg06_tv' => {
6277
      'connections' => {
6278
        'reg06_tv' => 'reg06_tv_net',
6279
      },
6280
      'entity' => {
6281
        'attributes' => {
6282
          'isGateway' => 1,
6283
          'is_floating_block' => 1,
6284
        },
6285
        'entityName' => 'reg06_tv',
6286
        'ports' => {
6287
          'reg06_tv' => {
6288
            'attributes' => {
6289
              'bin_pt' => 0,
6290
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
6291
              'is_floating_block' => 1,
6292
              'is_gateway_port' => 1,
6293
              'must_be_hdl_vector' => 1,
6294
              'period' => 1,
6295
              'port_id' => 0,
6296
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv/reg06_tv',
6297
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv',
6298
              'timingConstraint' => 'none',
6299
              'type' => 'Bool',
6300
            },
6301
            'direction' => 'out',
6302
            'hdlType' => 'std_logic',
6303
            'width' => 1,
6304
          },
6305
        },
6306
      },
6307
      'entityName' => 'reg06_tv',
6308
    },
6309
    'reg07_rd' => {
6310
      'connections' => {
6311
        'reg07_rd' => 'from_register13_data_out_net_x0',
6312
      },
6313
      'entity' => {
6314
        'attributes' => {
6315
          'isGateway' => 1,
6316
          'is_floating_block' => 1,
6317
        },
6318
        'entityName' => 'reg07_rd',
6319
        'ports' => {
6320
          'reg07_rd' => {
6321
            'attributes' => {
6322
              'bin_pt' => 0,
6323
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
6324
              'is_floating_block' => 1,
6325
              'is_gateway_port' => 1,
6326
              'must_be_hdl_vector' => 1,
6327
              'period' => 1,
6328
              'port_id' => 0,
6329
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd/reg07_rd',
6330
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd',
6331
              'timingConstraint' => 'none',
6332
              'type' => 'UFix_32_0',
6333
            },
6334
            'direction' => 'in',
6335
            'hdlType' => 'std_logic_vector(31 downto 0)',
6336
            'width' => 32,
6337
          },
6338
        },
6339
      },
6340
      'entityName' => 'reg07_rd',
6341
    },
6342
    'reg07_rv' => {
6343
      'connections' => {
6344
        'reg07_rv' => 'from_register14_data_out_net_x0',
6345
      },
6346
      'entity' => {
6347
        'attributes' => {
6348
          'isGateway' => 1,
6349
          'is_floating_block' => 1,
6350
        },
6351
        'entityName' => 'reg07_rv',
6352
        'ports' => {
6353
          'reg07_rv' => {
6354
            'attributes' => {
6355
              'bin_pt' => 0,
6356
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
6357
              'is_floating_block' => 1,
6358
              'is_gateway_port' => 1,
6359
              'must_be_hdl_vector' => 1,
6360
              'period' => 1,
6361
              'port_id' => 0,
6362
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv/reg07_rv',
6363
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv',
6364
              'timingConstraint' => 'none',
6365
              'type' => 'UFix_1_0',
6366
            },
6367
            'direction' => 'in',
6368
            'hdlType' => 'std_logic',
6369
            'width' => 1,
6370
          },
6371
        },
6372
      },
6373
      'entityName' => 'reg07_rv',
6374
    },
6375
    'reg07_td' => {
6376
      'connections' => {
6377
        'reg07_td' => 'reg07_td_net',
6378
      },
6379
      'entity' => {
6380
        'attributes' => {
6381
          'isGateway' => 1,
6382
          'is_floating_block' => 1,
6383
        },
6384
        'entityName' => 'reg07_td',
6385
        'ports' => {
6386
          'reg07_td' => {
6387
            'attributes' => {
6388
              'bin_pt' => 0,
6389
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
6390
              'is_floating_block' => 1,
6391
              'is_gateway_port' => 1,
6392
              'must_be_hdl_vector' => 1,
6393
              'period' => 1,
6394
              'port_id' => 0,
6395
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td/reg07_td',
6396
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td',
6397
              'timingConstraint' => 'none',
6398
              'type' => 'UFix_32_0',
6399
            },
6400
            'direction' => 'out',
6401
            'hdlType' => 'std_logic_vector(31 downto 0)',
6402
            'width' => 32,
6403
          },
6404
        },
6405
      },
6406
      'entityName' => 'reg07_td',
6407
    },
6408
    'reg07_tv' => {
6409
      'connections' => {
6410
        'reg07_tv' => 'reg07_tv_net',
6411
      },
6412
      'entity' => {
6413
        'attributes' => {
6414
          'isGateway' => 1,
6415
          'is_floating_block' => 1,
6416
        },
6417
        'entityName' => 'reg07_tv',
6418
        'ports' => {
6419
          'reg07_tv' => {
6420
            'attributes' => {
6421
              'bin_pt' => 0,
6422
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
6423
              'is_floating_block' => 1,
6424
              'is_gateway_port' => 1,
6425
              'must_be_hdl_vector' => 1,
6426
              'period' => 1,
6427
              'port_id' => 0,
6428
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv/reg07_tv',
6429
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv',
6430
              'timingConstraint' => 'none',
6431
              'type' => 'Bool',
6432
            },
6433
            'direction' => 'out',
6434
            'hdlType' => 'std_logic',
6435
            'width' => 1,
6436
          },
6437
        },
6438
      },
6439
      'entityName' => 'reg07_tv',
6440
    },
6441
    'reg08_rd' => {
6442
      'connections' => {
6443
        'reg08_rd' => 'from_register15_data_out_net_x0',
6444
      },
6445
      'entity' => {
6446
        'attributes' => {
6447
          'isGateway' => 1,
6448
          'is_floating_block' => 1,
6449
        },
6450
        'entityName' => 'reg08_rd',
6451
        'ports' => {
6452
          'reg08_rd' => {
6453
            'attributes' => {
6454
              'bin_pt' => 0,
6455
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
6456
              'is_floating_block' => 1,
6457
              'is_gateway_port' => 1,
6458
              'must_be_hdl_vector' => 1,
6459
              'period' => 1,
6460
              'port_id' => 0,
6461
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd/reg08_rd',
6462
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd',
6463
              'timingConstraint' => 'none',
6464
              'type' => 'UFix_32_0',
6465
            },
6466
            'direction' => 'in',
6467
            'hdlType' => 'std_logic_vector(31 downto 0)',
6468
            'width' => 32,
6469
          },
6470
        },
6471
      },
6472
      'entityName' => 'reg08_rd',
6473
    },
6474
    'reg08_rv' => {
6475
      'connections' => {
6476
        'reg08_rv' => 'from_register16_data_out_net_x0',
6477
      },
6478
      'entity' => {
6479
        'attributes' => {
6480
          'isGateway' => 1,
6481
          'is_floating_block' => 1,
6482
        },
6483
        'entityName' => 'reg08_rv',
6484
        'ports' => {
6485
          'reg08_rv' => {
6486
            'attributes' => {
6487
              'bin_pt' => 0,
6488
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
6489
              'is_floating_block' => 1,
6490
              'is_gateway_port' => 1,
6491
              'must_be_hdl_vector' => 1,
6492
              'period' => 1,
6493
              'port_id' => 0,
6494
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv/reg08_rv',
6495
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv',
6496
              'timingConstraint' => 'none',
6497
              'type' => 'UFix_1_0',
6498
            },
6499
            'direction' => 'in',
6500
            'hdlType' => 'std_logic',
6501
            'width' => 1,
6502
          },
6503
        },
6504
      },
6505
      'entityName' => 'reg08_rv',
6506
    },
6507
    'reg08_td' => {
6508
      'connections' => {
6509
        'reg08_td' => 'reg08_td_net',
6510
      },
6511
      'entity' => {
6512
        'attributes' => {
6513
          'isGateway' => 1,
6514
          'is_floating_block' => 1,
6515
        },
6516
        'entityName' => 'reg08_td',
6517
        'ports' => {
6518
          'reg08_td' => {
6519
            'attributes' => {
6520
              'bin_pt' => 0,
6521
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
6522
              'is_floating_block' => 1,
6523
              'is_gateway_port' => 1,
6524
              'must_be_hdl_vector' => 1,
6525
              'period' => 1,
6526
              'port_id' => 0,
6527
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td/reg08_td',
6528
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td',
6529
              'timingConstraint' => 'none',
6530
              'type' => 'UFix_32_0',
6531
            },
6532
            'direction' => 'out',
6533
            'hdlType' => 'std_logic_vector(31 downto 0)',
6534
            'width' => 32,
6535
          },
6536
        },
6537
      },
6538
      'entityName' => 'reg08_td',
6539
    },
6540
    'reg08_tv' => {
6541
      'connections' => {
6542
        'reg08_tv' => 'reg08_tv_net',
6543
      },
6544
      'entity' => {
6545
        'attributes' => {
6546
          'isGateway' => 1,
6547
          'is_floating_block' => 1,
6548
        },
6549
        'entityName' => 'reg08_tv',
6550
        'ports' => {
6551
          'reg08_tv' => {
6552
            'attributes' => {
6553
              'bin_pt' => 0,
6554
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
6555
              'is_floating_block' => 1,
6556
              'is_gateway_port' => 1,
6557
              'must_be_hdl_vector' => 1,
6558
              'period' => 1,
6559
              'port_id' => 0,
6560
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv/reg08_tv',
6561
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv',
6562
              'timingConstraint' => 'none',
6563
              'type' => 'Bool',
6564
            },
6565
            'direction' => 'out',
6566
            'hdlType' => 'std_logic',
6567
            'width' => 1,
6568
          },
6569
        },
6570
      },
6571
      'entityName' => 'reg08_tv',
6572
    },
6573
    'reg09_rd' => {
6574
      'connections' => {
6575
        'reg09_rd' => 'from_register17_data_out_net_x0',
6576
      },
6577
      'entity' => {
6578
        'attributes' => {
6579
          'isGateway' => 1,
6580
          'is_floating_block' => 1,
6581
        },
6582
        'entityName' => 'reg09_rd',
6583
        'ports' => {
6584
          'reg09_rd' => {
6585
            'attributes' => {
6586
              'bin_pt' => 0,
6587
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
6588
              'is_floating_block' => 1,
6589
              'is_gateway_port' => 1,
6590
              'must_be_hdl_vector' => 1,
6591
              'period' => 1,
6592
              'port_id' => 0,
6593
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd/reg09_rd',
6594
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd',
6595
              'timingConstraint' => 'none',
6596
              'type' => 'UFix_32_0',
6597
            },
6598
            'direction' => 'in',
6599
            'hdlType' => 'std_logic_vector(31 downto 0)',
6600
            'width' => 32,
6601
          },
6602
        },
6603
      },
6604
      'entityName' => 'reg09_rd',
6605
    },
6606
    'reg09_rv' => {
6607
      'connections' => {
6608
        'reg09_rv' => 'from_register18_data_out_net_x0',
6609
      },
6610
      'entity' => {
6611
        'attributes' => {
6612
          'isGateway' => 1,
6613
          'is_floating_block' => 1,
6614
        },
6615
        'entityName' => 'reg09_rv',
6616
        'ports' => {
6617
          'reg09_rv' => {
6618
            'attributes' => {
6619
              'bin_pt' => 0,
6620
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
6621
              'is_floating_block' => 1,
6622
              'is_gateway_port' => 1,
6623
              'must_be_hdl_vector' => 1,
6624
              'period' => 1,
6625
              'port_id' => 0,
6626
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv/reg09_rv',
6627
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv',
6628
              'timingConstraint' => 'none',
6629
              'type' => 'UFix_1_0',
6630
            },
6631
            'direction' => 'in',
6632
            'hdlType' => 'std_logic',
6633
            'width' => 1,
6634
          },
6635
        },
6636
      },
6637
      'entityName' => 'reg09_rv',
6638
    },
6639
    'reg09_td' => {
6640
      'connections' => {
6641
        'reg09_td' => 'reg09_td_net',
6642
      },
6643
      'entity' => {
6644
        'attributes' => {
6645
          'isGateway' => 1,
6646
          'is_floating_block' => 1,
6647
        },
6648
        'entityName' => 'reg09_td',
6649
        'ports' => {
6650
          'reg09_td' => {
6651
            'attributes' => {
6652
              'bin_pt' => 0,
6653
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
6654
              'is_floating_block' => 1,
6655
              'is_gateway_port' => 1,
6656
              'must_be_hdl_vector' => 1,
6657
              'period' => 1,
6658
              'port_id' => 0,
6659
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td/reg09_td',
6660
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td',
6661
              'timingConstraint' => 'none',
6662
              'type' => 'UFix_32_0',
6663
            },
6664
            'direction' => 'out',
6665
            'hdlType' => 'std_logic_vector(31 downto 0)',
6666
            'width' => 32,
6667
          },
6668
        },
6669
      },
6670
      'entityName' => 'reg09_td',
6671
    },
6672
    'reg09_tv' => {
6673
      'connections' => {
6674
        'reg09_tv' => 'reg09_tv_net',
6675
      },
6676
      'entity' => {
6677
        'attributes' => {
6678
          'isGateway' => 1,
6679
          'is_floating_block' => 1,
6680
        },
6681
        'entityName' => 'reg09_tv',
6682
        'ports' => {
6683
          'reg09_tv' => {
6684
            'attributes' => {
6685
              'bin_pt' => 0,
6686
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
6687
              'is_floating_block' => 1,
6688
              'is_gateway_port' => 1,
6689
              'must_be_hdl_vector' => 1,
6690
              'period' => 1,
6691
              'port_id' => 0,
6692
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv/reg09_tv',
6693
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv',
6694
              'timingConstraint' => 'none',
6695
              'type' => 'Bool',
6696
            },
6697
            'direction' => 'out',
6698
            'hdlType' => 'std_logic',
6699
            'width' => 1,
6700
          },
6701
        },
6702
      },
6703
      'entityName' => 'reg09_tv',
6704
    },
6705
    'reg10_rd' => {
6706
      'connections' => {
6707
        'reg10_rd' => 'from_register19_data_out_net_x0',
6708
      },
6709
      'entity' => {
6710
        'attributes' => {
6711
          'isGateway' => 1,
6712
          'is_floating_block' => 1,
6713
        },
6714
        'entityName' => 'reg10_rd',
6715
        'ports' => {
6716
          'reg10_rd' => {
6717
            'attributes' => {
6718
              'bin_pt' => 0,
6719
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
6720
              'is_floating_block' => 1,
6721
              'is_gateway_port' => 1,
6722
              'must_be_hdl_vector' => 1,
6723
              'period' => 1,
6724
              'port_id' => 0,
6725
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd/reg10_rd',
6726
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd',
6727
              'timingConstraint' => 'none',
6728
              'type' => 'UFix_32_0',
6729
            },
6730
            'direction' => 'in',
6731
            'hdlType' => 'std_logic_vector(31 downto 0)',
6732
            'width' => 32,
6733
          },
6734
        },
6735
      },
6736
      'entityName' => 'reg10_rd',
6737
    },
6738
    'reg10_rv' => {
6739
      'connections' => {
6740
        'reg10_rv' => 'from_register20_data_out_net_x0',
6741
      },
6742
      'entity' => {
6743
        'attributes' => {
6744
          'isGateway' => 1,
6745
          'is_floating_block' => 1,
6746
        },
6747
        'entityName' => 'reg10_rv',
6748
        'ports' => {
6749
          'reg10_rv' => {
6750
            'attributes' => {
6751
              'bin_pt' => 0,
6752
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
6753
              'is_floating_block' => 1,
6754
              'is_gateway_port' => 1,
6755
              'must_be_hdl_vector' => 1,
6756
              'period' => 1,
6757
              'port_id' => 0,
6758
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv/reg10_rv',
6759
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv',
6760
              'timingConstraint' => 'none',
6761
              'type' => 'UFix_1_0',
6762
            },
6763
            'direction' => 'in',
6764
            'hdlType' => 'std_logic',
6765
            'width' => 1,
6766
          },
6767
        },
6768
      },
6769
      'entityName' => 'reg10_rv',
6770
    },
6771
    'reg10_td' => {
6772
      'connections' => {
6773
        'reg10_td' => 'reg10_td_net',
6774
      },
6775
      'entity' => {
6776
        'attributes' => {
6777
          'isGateway' => 1,
6778
          'is_floating_block' => 1,
6779
        },
6780
        'entityName' => 'reg10_td',
6781
        'ports' => {
6782
          'reg10_td' => {
6783
            'attributes' => {
6784
              'bin_pt' => 0,
6785
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
6786
              'is_floating_block' => 1,
6787
              'is_gateway_port' => 1,
6788
              'must_be_hdl_vector' => 1,
6789
              'period' => 1,
6790
              'port_id' => 0,
6791
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td/reg10_td',
6792
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td',
6793
              'timingConstraint' => 'none',
6794
              'type' => 'UFix_32_0',
6795
            },
6796
            'direction' => 'out',
6797
            'hdlType' => 'std_logic_vector(31 downto 0)',
6798
            'width' => 32,
6799
          },
6800
        },
6801
      },
6802
      'entityName' => 'reg10_td',
6803
    },
6804
    'reg10_tv' => {
6805
      'connections' => {
6806
        'reg10_tv' => 'reg10_tv_net',
6807
      },
6808
      'entity' => {
6809
        'attributes' => {
6810
          'isGateway' => 1,
6811
          'is_floating_block' => 1,
6812
        },
6813
        'entityName' => 'reg10_tv',
6814
        'ports' => {
6815
          'reg10_tv' => {
6816
            'attributes' => {
6817
              'bin_pt' => 0,
6818
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
6819
              'is_floating_block' => 1,
6820
              'is_gateway_port' => 1,
6821
              'must_be_hdl_vector' => 1,
6822
              'period' => 1,
6823
              'port_id' => 0,
6824
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv/reg10_tv',
6825
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv',
6826
              'timingConstraint' => 'none',
6827
              'type' => 'Bool',
6828
            },
6829
            'direction' => 'out',
6830
            'hdlType' => 'std_logic',
6831
            'width' => 1,
6832
          },
6833
        },
6834
      },
6835
      'entityName' => 'reg10_tv',
6836
    },
6837
    'reg11_rd' => {
6838
      'connections' => {
6839
        'reg11_rd' => 'from_register21_data_out_net_x0',
6840
      },
6841
      'entity' => {
6842
        'attributes' => {
6843
          'isGateway' => 1,
6844
          'is_floating_block' => 1,
6845
        },
6846
        'entityName' => 'reg11_rd',
6847
        'ports' => {
6848
          'reg11_rd' => {
6849
            'attributes' => {
6850
              'bin_pt' => 0,
6851
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
6852
              'is_floating_block' => 1,
6853
              'is_gateway_port' => 1,
6854
              'must_be_hdl_vector' => 1,
6855
              'period' => 1,
6856
              'port_id' => 0,
6857
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd/reg11_rd',
6858
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd',
6859
              'timingConstraint' => 'none',
6860
              'type' => 'UFix_32_0',
6861
            },
6862
            'direction' => 'in',
6863
            'hdlType' => 'std_logic_vector(31 downto 0)',
6864
            'width' => 32,
6865
          },
6866
        },
6867
      },
6868
      'entityName' => 'reg11_rd',
6869
    },
6870
    'reg11_rv' => {
6871
      'connections' => {
6872
        'reg11_rv' => 'from_register22_data_out_net_x0',
6873
      },
6874
      'entity' => {
6875
        'attributes' => {
6876
          'isGateway' => 1,
6877
          'is_floating_block' => 1,
6878
        },
6879
        'entityName' => 'reg11_rv',
6880
        'ports' => {
6881
          'reg11_rv' => {
6882
            'attributes' => {
6883
              'bin_pt' => 0,
6884
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
6885
              'is_floating_block' => 1,
6886
              'is_gateway_port' => 1,
6887
              'must_be_hdl_vector' => 1,
6888
              'period' => 1,
6889
              'port_id' => 0,
6890
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv/reg11_rv',
6891
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv',
6892
              'timingConstraint' => 'none',
6893
              'type' => 'UFix_1_0',
6894
            },
6895
            'direction' => 'in',
6896
            'hdlType' => 'std_logic',
6897
            'width' => 1,
6898
          },
6899
        },
6900
      },
6901
      'entityName' => 'reg11_rv',
6902
    },
6903
    'reg11_td' => {
6904
      'connections' => {
6905
        'reg11_td' => 'reg11_td_net',
6906
      },
6907
      'entity' => {
6908
        'attributes' => {
6909
          'isGateway' => 1,
6910
          'is_floating_block' => 1,
6911
        },
6912
        'entityName' => 'reg11_td',
6913
        'ports' => {
6914
          'reg11_td' => {
6915
            'attributes' => {
6916
              'bin_pt' => 0,
6917
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
6918
              'is_floating_block' => 1,
6919
              'is_gateway_port' => 1,
6920
              'must_be_hdl_vector' => 1,
6921
              'period' => 1,
6922
              'port_id' => 0,
6923
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td/reg11_td',
6924
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td',
6925
              'timingConstraint' => 'none',
6926
              'type' => 'UFix_32_0',
6927
            },
6928
            'direction' => 'out',
6929
            'hdlType' => 'std_logic_vector(31 downto 0)',
6930
            'width' => 32,
6931
          },
6932
        },
6933
      },
6934
      'entityName' => 'reg11_td',
6935
    },
6936
    'reg11_tv' => {
6937
      'connections' => {
6938
        'reg11_tv' => 'reg11_tv_net',
6939
      },
6940
      'entity' => {
6941
        'attributes' => {
6942
          'isGateway' => 1,
6943
          'is_floating_block' => 1,
6944
        },
6945
        'entityName' => 'reg11_tv',
6946
        'ports' => {
6947
          'reg11_tv' => {
6948
            'attributes' => {
6949
              'bin_pt' => 0,
6950
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
6951
              'is_floating_block' => 1,
6952
              'is_gateway_port' => 1,
6953
              'must_be_hdl_vector' => 1,
6954
              'period' => 1,
6955
              'port_id' => 0,
6956
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv/reg11_tv',
6957
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv',
6958
              'timingConstraint' => 'none',
6959
              'type' => 'Bool',
6960
            },
6961
            'direction' => 'out',
6962
            'hdlType' => 'std_logic',
6963
            'width' => 1,
6964
          },
6965
        },
6966
      },
6967
      'entityName' => 'reg11_tv',
6968
    },
6969
    'reg12_rd' => {
6970
      'connections' => {
6971
        'reg12_rd' => 'from_register23_data_out_net_x0',
6972
      },
6973
      'entity' => {
6974
        'attributes' => {
6975
          'isGateway' => 1,
6976
          'is_floating_block' => 1,
6977
        },
6978
        'entityName' => 'reg12_rd',
6979
        'ports' => {
6980
          'reg12_rd' => {
6981
            'attributes' => {
6982
              'bin_pt' => 0,
6983
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
6984
              'is_floating_block' => 1,
6985
              'is_gateway_port' => 1,
6986
              'must_be_hdl_vector' => 1,
6987
              'period' => 1,
6988
              'port_id' => 0,
6989
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd/reg12_rd',
6990
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd',
6991
              'timingConstraint' => 'none',
6992
              'type' => 'UFix_32_0',
6993
            },
6994
            'direction' => 'in',
6995
            'hdlType' => 'std_logic_vector(31 downto 0)',
6996
            'width' => 32,
6997
          },
6998
        },
6999
      },
7000
      'entityName' => 'reg12_rd',
7001
    },
7002
    'reg12_rv' => {
7003
      'connections' => {
7004
        'reg12_rv' => 'from_register24_data_out_net_x0',
7005
      },
7006
      'entity' => {
7007
        'attributes' => {
7008
          'isGateway' => 1,
7009
          'is_floating_block' => 1,
7010
        },
7011
        'entityName' => 'reg12_rv',
7012
        'ports' => {
7013
          'reg12_rv' => {
7014
            'attributes' => {
7015
              'bin_pt' => 0,
7016
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
7017
              'is_floating_block' => 1,
7018
              'is_gateway_port' => 1,
7019
              'must_be_hdl_vector' => 1,
7020
              'period' => 1,
7021
              'port_id' => 0,
7022
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv/reg12_rv',
7023
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv',
7024
              'timingConstraint' => 'none',
7025
              'type' => 'UFix_1_0',
7026
            },
7027
            'direction' => 'in',
7028
            'hdlType' => 'std_logic',
7029
            'width' => 1,
7030
          },
7031
        },
7032
      },
7033
      'entityName' => 'reg12_rv',
7034
    },
7035
    'reg12_td' => {
7036
      'connections' => {
7037
        'reg12_td' => 'reg12_td_net',
7038
      },
7039
      'entity' => {
7040
        'attributes' => {
7041
          'isGateway' => 1,
7042
          'is_floating_block' => 1,
7043
        },
7044
        'entityName' => 'reg12_td',
7045
        'ports' => {
7046
          'reg12_td' => {
7047
            'attributes' => {
7048
              'bin_pt' => 0,
7049
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
7050
              'is_floating_block' => 1,
7051
              'is_gateway_port' => 1,
7052
              'must_be_hdl_vector' => 1,
7053
              'period' => 1,
7054
              'port_id' => 0,
7055
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td/reg12_td',
7056
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td',
7057
              'timingConstraint' => 'none',
7058
              'type' => 'UFix_32_0',
7059
            },
7060
            'direction' => 'out',
7061
            'hdlType' => 'std_logic_vector(31 downto 0)',
7062
            'width' => 32,
7063
          },
7064
        },
7065
      },
7066
      'entityName' => 'reg12_td',
7067
    },
7068
    'reg12_tv' => {
7069
      'connections' => {
7070
        'reg12_tv' => 'reg12_tv_net',
7071
      },
7072
      'entity' => {
7073
        'attributes' => {
7074
          'isGateway' => 1,
7075
          'is_floating_block' => 1,
7076
        },
7077
        'entityName' => 'reg12_tv',
7078
        'ports' => {
7079
          'reg12_tv' => {
7080
            'attributes' => {
7081
              'bin_pt' => 0,
7082
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
7083
              'is_floating_block' => 1,
7084
              'is_gateway_port' => 1,
7085
              'must_be_hdl_vector' => 1,
7086
              'period' => 1,
7087
              'port_id' => 0,
7088
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv/reg12_tv',
7089
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv',
7090
              'timingConstraint' => 'none',
7091
              'type' => 'Bool',
7092
            },
7093
            'direction' => 'out',
7094
            'hdlType' => 'std_logic',
7095
            'width' => 1,
7096
          },
7097
        },
7098
      },
7099
      'entityName' => 'reg12_tv',
7100
    },
7101
    'reg13_rd' => {
7102
      'connections' => {
7103
        'reg13_rd' => 'from_register25_data_out_net_x0',
7104
      },
7105
      'entity' => {
7106
        'attributes' => {
7107
          'isGateway' => 1,
7108
          'is_floating_block' => 1,
7109
        },
7110
        'entityName' => 'reg13_rd',
7111
        'ports' => {
7112
          'reg13_rd' => {
7113
            'attributes' => {
7114
              'bin_pt' => 0,
7115
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
7116
              'is_floating_block' => 1,
7117
              'is_gateway_port' => 1,
7118
              'must_be_hdl_vector' => 1,
7119
              'period' => 1,
7120
              'port_id' => 0,
7121
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd/reg13_rd',
7122
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd',
7123
              'timingConstraint' => 'none',
7124
              'type' => 'UFix_32_0',
7125
            },
7126
            'direction' => 'in',
7127
            'hdlType' => 'std_logic_vector(31 downto 0)',
7128
            'width' => 32,
7129
          },
7130
        },
7131
      },
7132
      'entityName' => 'reg13_rd',
7133
    },
7134
    'reg13_rv' => {
7135
      'connections' => {
7136
        'reg13_rv' => 'from_register26_data_out_net_x0',
7137
      },
7138
      'entity' => {
7139
        'attributes' => {
7140
          'isGateway' => 1,
7141
          'is_floating_block' => 1,
7142
        },
7143
        'entityName' => 'reg13_rv',
7144
        'ports' => {
7145
          'reg13_rv' => {
7146
            'attributes' => {
7147
              'bin_pt' => 0,
7148
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
7149
              'is_floating_block' => 1,
7150
              'is_gateway_port' => 1,
7151
              'must_be_hdl_vector' => 1,
7152
              'period' => 1,
7153
              'port_id' => 0,
7154
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv/reg13_rv',
7155
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv',
7156
              'timingConstraint' => 'none',
7157
              'type' => 'UFix_1_0',
7158
            },
7159
            'direction' => 'in',
7160
            'hdlType' => 'std_logic',
7161
            'width' => 1,
7162
          },
7163
        },
7164
      },
7165
      'entityName' => 'reg13_rv',
7166
    },
7167
    'reg13_td' => {
7168
      'connections' => {
7169
        'reg13_td' => 'reg13_td_net',
7170
      },
7171
      'entity' => {
7172
        'attributes' => {
7173
          'isGateway' => 1,
7174
          'is_floating_block' => 1,
7175
        },
7176
        'entityName' => 'reg13_td',
7177
        'ports' => {
7178
          'reg13_td' => {
7179
            'attributes' => {
7180
              'bin_pt' => 0,
7181
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
7182
              'is_floating_block' => 1,
7183
              'is_gateway_port' => 1,
7184
              'must_be_hdl_vector' => 1,
7185
              'period' => 1,
7186
              'port_id' => 0,
7187
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td/reg13_td',
7188
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td',
7189
              'timingConstraint' => 'none',
7190
              'type' => 'UFix_32_0',
7191
            },
7192
            'direction' => 'out',
7193
            'hdlType' => 'std_logic_vector(31 downto 0)',
7194
            'width' => 32,
7195
          },
7196
        },
7197
      },
7198
      'entityName' => 'reg13_td',
7199
    },
7200
    'reg13_tv' => {
7201
      'connections' => {
7202
        'reg13_tv' => 'reg13_tv_net',
7203
      },
7204
      'entity' => {
7205
        'attributes' => {
7206
          'isGateway' => 1,
7207
          'is_floating_block' => 1,
7208
        },
7209
        'entityName' => 'reg13_tv',
7210
        'ports' => {
7211
          'reg13_tv' => {
7212
            'attributes' => {
7213
              'bin_pt' => 0,
7214
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
7215
              'is_floating_block' => 1,
7216
              'is_gateway_port' => 1,
7217
              'must_be_hdl_vector' => 1,
7218
              'period' => 1,
7219
              'port_id' => 0,
7220
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv/reg13_tv',
7221
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv',
7222
              'timingConstraint' => 'none',
7223
              'type' => 'Bool',
7224
            },
7225
            'direction' => 'out',
7226
            'hdlType' => 'std_logic',
7227
            'width' => 1,
7228
          },
7229
        },
7230
      },
7231
      'entityName' => 'reg13_tv',
7232
    },
7233
    'reg14_rd' => {
7234
      'connections' => {
7235
        'reg14_rd' => 'from_register27_data_out_net_x0',
7236
      },
7237
      'entity' => {
7238
        'attributes' => {
7239
          'isGateway' => 1,
7240
          'is_floating_block' => 1,
7241
        },
7242
        'entityName' => 'reg14_rd',
7243
        'ports' => {
7244
          'reg14_rd' => {
7245
            'attributes' => {
7246
              'bin_pt' => 0,
7247
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
7248
              'is_floating_block' => 1,
7249
              'is_gateway_port' => 1,
7250
              'must_be_hdl_vector' => 1,
7251
              'period' => 1,
7252
              'port_id' => 0,
7253
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd/reg14_rd',
7254
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd',
7255
              'timingConstraint' => 'none',
7256
              'type' => 'UFix_32_0',
7257
            },
7258
            'direction' => 'in',
7259
            'hdlType' => 'std_logic_vector(31 downto 0)',
7260
            'width' => 32,
7261
          },
7262
        },
7263
      },
7264
      'entityName' => 'reg14_rd',
7265
    },
7266
    'reg14_rv' => {
7267
      'connections' => {
7268
        'reg14_rv' => 'from_register28_data_out_net_x0',
7269
      },
7270
      'entity' => {
7271
        'attributes' => {
7272
          'isGateway' => 1,
7273
          'is_floating_block' => 1,
7274
        },
7275
        'entityName' => 'reg14_rv',
7276
        'ports' => {
7277
          'reg14_rv' => {
7278
            'attributes' => {
7279
              'bin_pt' => 0,
7280
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
7281
              'is_floating_block' => 1,
7282
              'is_gateway_port' => 1,
7283
              'must_be_hdl_vector' => 1,
7284
              'period' => 1,
7285
              'port_id' => 0,
7286
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv/reg14_rv',
7287
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv',
7288
              'timingConstraint' => 'none',
7289
              'type' => 'UFix_1_0',
7290
            },
7291
            'direction' => 'in',
7292
            'hdlType' => 'std_logic',
7293
            'width' => 1,
7294
          },
7295
        },
7296
      },
7297
      'entityName' => 'reg14_rv',
7298
    },
7299
    'reg14_td' => {
7300
      'connections' => {
7301
        'reg14_td' => 'reg14_td_net',
7302
      },
7303
      'entity' => {
7304
        'attributes' => {
7305
          'isGateway' => 1,
7306
          'is_floating_block' => 1,
7307
        },
7308
        'entityName' => 'reg14_td',
7309
        'ports' => {
7310
          'reg14_td' => {
7311
            'attributes' => {
7312
              'bin_pt' => 0,
7313
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
7314
              'is_floating_block' => 1,
7315
              'is_gateway_port' => 1,
7316
              'must_be_hdl_vector' => 1,
7317
              'period' => 1,
7318
              'port_id' => 0,
7319
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td/reg14_td',
7320
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td',
7321
              'timingConstraint' => 'none',
7322
              'type' => 'UFix_32_0',
7323
            },
7324
            'direction' => 'out',
7325
            'hdlType' => 'std_logic_vector(31 downto 0)',
7326
            'width' => 32,
7327
          },
7328
        },
7329
      },
7330
      'entityName' => 'reg14_td',
7331
    },
7332
    'reg14_tv' => {
7333
      'connections' => {
7334
        'reg14_tv' => 'reg14_tv_net',
7335
      },
7336
      'entity' => {
7337
        'attributes' => {
7338
          'isGateway' => 1,
7339
          'is_floating_block' => 1,
7340
        },
7341
        'entityName' => 'reg14_tv',
7342
        'ports' => {
7343
          'reg14_tv' => {
7344
            'attributes' => {
7345
              'bin_pt' => 0,
7346
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
7347
              'is_floating_block' => 1,
7348
              'is_gateway_port' => 1,
7349
              'must_be_hdl_vector' => 1,
7350
              'period' => 1,
7351
              'port_id' => 0,
7352
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv/reg14_tv',
7353
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv',
7354
              'timingConstraint' => 'none',
7355
              'type' => 'Bool',
7356
            },
7357
            'direction' => 'out',
7358
            'hdlType' => 'std_logic',
7359
            'width' => 1,
7360
          },
7361
        },
7362
      },
7363
      'entityName' => 'reg14_tv',
7364
    },
7365
    'to_register1' => {
7366
      'connections' => {
7367
        'ce' => 'ce_1_sg',
7368
        'clk' => 'clk_1_sg',
7369
        'clr' => [
7370
          'constant',
7371
          '\'0\'',
7372
        ],
7373
        'data_in' => 'debug_in_2i_net_x0',
7374
        'dout' => 'to_register1_dout_net',
7375
        'en' => 'constant5_op_net_x0',
7376
      },
7377
      'entity' => {
7378
        'attributes' => {
7379
          'generics' => [
7380
          ],
7381
          'is_floating_block' => 1,
7382
          'mask' => {
7383
            'Block_Handle' => 38.0009765625,
7384
            'Block_handle' => 38.0009765625,
7385
            'MDL_Handle' => 3.0009765625,
7386
            'MDL_handle' => 3.0009765625,
7387
            'arith_type' => 1,
7388
            'bin_pt' => 14,
7389
            'block_config' => 'sysgen_blockset:toreg_config',
7390
            'block_handle' => 38.0009765625,
7391
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7392
            'block_type' => 'toreg',
7393
            'dbl_ovrd' => 0,
7394
            'explicit_data_type' => 0,
7395
            'init' => 0,
7396
            'init_bit_vector' => '00000000000000000000000000000000b',
7397
            'mdl_handle' => 3.0009765625,
7398
            'model_handle' => 3.0009765625,
7399
            'n_bits' => 16,
7400
            'ownership' => 1,
7401
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7402
            'shared_memory_name' => 'debug2i',
7403
          },
7404
          'needs_vhdl_wrapper' => 0,
7405
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
7406
        },
7407
        'entityName' => 'x',
7408
        'ports' => {
7409
          'ce' => {
7410
            'attributes' => {
7411
              'domain' => '',
7412
              'group' => 1,
7413
              'isCe' => 1,
7414
              'is_floating_block' => 1,
7415
              'period' => 1,
7416
              'type' => 'logic',
7417
            },
7418
            'direction' => 'in',
7419
            'hdlType' => 'std_logic',
7420
            'width' => 1,
7421
          },
7422
          'clk' => {
7423
            'attributes' => {
7424
              'domain' => '',
7425
              'group' => 1,
7426
              'isClk' => 1,
7427
              'is_floating_block' => 1,
7428
              'period' => 1,
7429
              'type' => 'logic',
7430
            },
7431
            'direction' => 'in',
7432
            'hdlType' => 'std_logic',
7433
            'width' => 1,
7434
          },
7435
          'clr' => {
7436
            'attributes' => {
7437
              'domain' => '',
7438
              'group' => 1,
7439
              'isClr' => 1,
7440
              'is_floating_block' => 1,
7441
              'period' => 1,
7442
              'type' => 'logic',
7443
              'valid_bit_used' => 0,
7444
            },
7445
            'direction' => 'in',
7446
            'hdlType' => 'std_logic',
7447
            'width' => 1,
7448
          },
7449
          'data_in' => {
7450
            'attributes' => {
7451
              'bin_pt' => 0,
7452
              'is_floating_block' => 1,
7453
              'must_be_hdl_vector' => 1,
7454
              'period' => 1,
7455
              'port_id' => 0,
7456
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/data_in',
7457
              'type' => 'UFix_32_0',
7458
            },
7459
            'direction' => 'in',
7460
            'hdlType' => 'std_logic_vector(31 downto 0)',
7461
            'width' => 32,
7462
          },
7463
          'dout' => {
7464
            'attributes' => {
7465
              'bin_pt' => 0,
7466
              'is_floating_block' => 1,
7467
              'must_be_hdl_vector' => 1,
7468
              'period' => 1,
7469
              'port_id' => 0,
7470
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/dout',
7471
              'type' => 'UFix_32_0',
7472
            },
7473
            'direction' => 'out',
7474
            'hdlType' => 'std_logic_vector(31 downto 0)',
7475
            'width' => 32,
7476
          },
7477
          'en' => {
7478
            'attributes' => {
7479
              'bin_pt' => 0,
7480
              'is_floating_block' => 1,
7481
              'must_be_hdl_vector' => 1,
7482
              'period' => 1,
7483
              'port_id' => 1,
7484
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/en',
7485
              'type' => 'Bool',
7486
            },
7487
            'direction' => 'in',
7488
            'hdlType' => 'std_logic_vector(0 downto 0)',
7489
            'width' => 1,
7490
          },
7491
        },
7492
      },
7493
      'entityName' => 'x',
7494
    },
7495
    'to_register10' => {
7496
      'connections' => {
7497
        'ce' => 'ce_1_sg',
7498
        'clk' => 'clk_1_sg',
7499
        'clr' => [
7500
          'constant',
7501
          '\'0\'',
7502
        ],
7503
        'data_in' => 'reg04_tv_net_x0',
7504
        'dout' => 'to_register10_dout_net',
7505
        'en' => 'constant5_op_net_x1',
7506
      },
7507
      'entity' => {
7508
        'attributes' => {
7509
          'generics' => [
7510
          ],
7511
          'is_floating_block' => 1,
7512
          'mask' => {
7513
            'Block_Handle' => 39.0009765625,
7514
            'Block_handle' => 39.0009765625,
7515
            'MDL_Handle' => 3.0009765625,
7516
            'MDL_handle' => 3.0009765625,
7517
            'arith_type' => 1,
7518
            'bin_pt' => 14,
7519
            'block_config' => 'sysgen_blockset:toreg_config',
7520
            'block_handle' => 39.0009765625,
7521
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7522
            'block_type' => 'toreg',
7523
            'dbl_ovrd' => 0,
7524
            'explicit_data_type' => 0,
7525
            'init' => 0,
7526
            'init_bit_vector' => '0b',
7527
            'mdl_handle' => 3.0009765625,
7528
            'model_handle' => 3.0009765625,
7529
            'n_bits' => 16,
7530
            'ownership' => 1,
7531
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7532
            'shared_memory_name' => 'register04tv',
7533
          },
7534
          'needs_vhdl_wrapper' => 0,
7535
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
7536
        },
7537
        'entityName' => 'x_x0',
7538
        'ports' => {
7539
          'ce' => {
7540
            'attributes' => {
7541
              'domain' => '',
7542
              'group' => 1,
7543
              'isCe' => 1,
7544
              'is_floating_block' => 1,
7545
              'period' => 1,
7546
              'type' => 'logic',
7547
            },
7548
            'direction' => 'in',
7549
            'hdlType' => 'std_logic',
7550
            'width' => 1,
7551
          },
7552
          'clk' => {
7553
            'attributes' => {
7554
              'domain' => '',
7555
              'group' => 1,
7556
              'isClk' => 1,
7557
              'is_floating_block' => 1,
7558
              'period' => 1,
7559
              'type' => 'logic',
7560
            },
7561
            'direction' => 'in',
7562
            'hdlType' => 'std_logic',
7563
            'width' => 1,
7564
          },
7565
          'clr' => {
7566
            'attributes' => {
7567
              'domain' => '',
7568
              'group' => 1,
7569
              'isClr' => 1,
7570
              'is_floating_block' => 1,
7571
              'period' => 1,
7572
              'type' => 'logic',
7573
              'valid_bit_used' => 0,
7574
            },
7575
            'direction' => 'in',
7576
            'hdlType' => 'std_logic',
7577
            'width' => 1,
7578
          },
7579
          'data_in' => {
7580
            'attributes' => {
7581
              'bin_pt' => 0,
7582
              'is_floating_block' => 1,
7583
              'must_be_hdl_vector' => 1,
7584
              'period' => 1,
7585
              'port_id' => 0,
7586
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/data_in',
7587
              'type' => 'Bool',
7588
            },
7589
            'direction' => 'in',
7590
            'hdlType' => 'std_logic_vector(0 downto 0)',
7591
            'width' => 1,
7592
          },
7593
          'dout' => {
7594
            'attributes' => {
7595
              'bin_pt' => 0,
7596
              'is_floating_block' => 1,
7597
              'must_be_hdl_vector' => 1,
7598
              'period' => 1,
7599
              'port_id' => 0,
7600
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/dout',
7601
              'type' => 'Bool',
7602
            },
7603
            'direction' => 'out',
7604
            'hdlType' => 'std_logic_vector(0 downto 0)',
7605
            'width' => 1,
7606
          },
7607
          'en' => {
7608
            'attributes' => {
7609
              'bin_pt' => 0,
7610
              'is_floating_block' => 1,
7611
              'must_be_hdl_vector' => 1,
7612
              'period' => 1,
7613
              'port_id' => 1,
7614
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/en',
7615
              'type' => 'Bool',
7616
            },
7617
            'direction' => 'in',
7618
            'hdlType' => 'std_logic_vector(0 downto 0)',
7619
            'width' => 1,
7620
          },
7621
        },
7622
      },
7623
      'entityName' => 'x_x0',
7624
    },
7625
    'to_register11' => {
7626
      'connections' => {
7627
        'ce' => 'ce_1_sg',
7628
        'clk' => 'clk_1_sg',
7629
        'clr' => [
7630
          'constant',
7631
          '\'0\'',
7632
        ],
7633
        'data_in' => 'reg04_td_net_x0',
7634
        'dout' => 'to_register11_dout_net',
7635
        'en' => 'constant5_op_net_x2',
7636
      },
7637
      'entity' => {
7638
        'attributes' => {
7639
          'generics' => [
7640
          ],
7641
          'is_floating_block' => 1,
7642
          'mask' => {
7643
            'Block_Handle' => 40.0009765625,
7644
            'Block_handle' => 40.0009765625,
7645
            'MDL_Handle' => 3.0009765625,
7646
            'MDL_handle' => 3.0009765625,
7647
            'arith_type' => 1,
7648
            'bin_pt' => 14,
7649
            'block_config' => 'sysgen_blockset:toreg_config',
7650
            'block_handle' => 40.0009765625,
7651
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7652
            'block_type' => 'toreg',
7653
            'dbl_ovrd' => 0,
7654
            'explicit_data_type' => 0,
7655
            'init' => 0,
7656
            'init_bit_vector' => '00000000000000000000000000000000b',
7657
            'mdl_handle' => 3.0009765625,
7658
            'model_handle' => 3.0009765625,
7659
            'n_bits' => 16,
7660
            'ownership' => 1,
7661
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7662
            'shared_memory_name' => 'register04td',
7663
          },
7664
          'needs_vhdl_wrapper' => 0,
7665
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
7666
        },
7667
        'entityName' => 'x_x1',
7668
        'ports' => {
7669
          'ce' => {
7670
            'attributes' => {
7671
              'domain' => '',
7672
              'group' => 1,
7673
              'isCe' => 1,
7674
              'is_floating_block' => 1,
7675
              'period' => 1,
7676
              'type' => 'logic',
7677
            },
7678
            'direction' => 'in',
7679
            'hdlType' => 'std_logic',
7680
            'width' => 1,
7681
          },
7682
          'clk' => {
7683
            'attributes' => {
7684
              'domain' => '',
7685
              'group' => 1,
7686
              'isClk' => 1,
7687
              'is_floating_block' => 1,
7688
              'period' => 1,
7689
              'type' => 'logic',
7690
            },
7691
            'direction' => 'in',
7692
            'hdlType' => 'std_logic',
7693
            'width' => 1,
7694
          },
7695
          'clr' => {
7696
            'attributes' => {
7697
              'domain' => '',
7698
              'group' => 1,
7699
              'isClr' => 1,
7700
              'is_floating_block' => 1,
7701
              'period' => 1,
7702
              'type' => 'logic',
7703
              'valid_bit_used' => 0,
7704
            },
7705
            'direction' => 'in',
7706
            'hdlType' => 'std_logic',
7707
            'width' => 1,
7708
          },
7709
          'data_in' => {
7710
            'attributes' => {
7711
              'bin_pt' => 0,
7712
              'is_floating_block' => 1,
7713
              'must_be_hdl_vector' => 1,
7714
              'period' => 1,
7715
              'port_id' => 0,
7716
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/data_in',
7717
              'type' => 'UFix_32_0',
7718
            },
7719
            'direction' => 'in',
7720
            'hdlType' => 'std_logic_vector(31 downto 0)',
7721
            'width' => 32,
7722
          },
7723
          'dout' => {
7724
            'attributes' => {
7725
              'bin_pt' => 0,
7726
              'is_floating_block' => 1,
7727
              'must_be_hdl_vector' => 1,
7728
              'period' => 1,
7729
              'port_id' => 0,
7730
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/dout',
7731
              'type' => 'UFix_32_0',
7732
            },
7733
            'direction' => 'out',
7734
            'hdlType' => 'std_logic_vector(31 downto 0)',
7735
            'width' => 32,
7736
          },
7737
          'en' => {
7738
            'attributes' => {
7739
              'bin_pt' => 0,
7740
              'is_floating_block' => 1,
7741
              'must_be_hdl_vector' => 1,
7742
              'period' => 1,
7743
              'port_id' => 1,
7744
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/en',
7745
              'type' => 'Bool',
7746
            },
7747
            'direction' => 'in',
7748
            'hdlType' => 'std_logic_vector(0 downto 0)',
7749
            'width' => 1,
7750
          },
7751
        },
7752
      },
7753
      'entityName' => 'x_x1',
7754
    },
7755
    'to_register12' => {
7756
      'connections' => {
7757
        'ce' => 'ce_1_sg',
7758
        'clk' => 'clk_1_sg',
7759
        'clr' => [
7760
          'constant',
7761
          '\'0\'',
7762
        ],
7763
        'data_in' => 'reg05_tv_net_x0',
7764
        'dout' => 'to_register12_dout_net',
7765
        'en' => 'constant5_op_net_x3',
7766
      },
7767
      'entity' => {
7768
        'attributes' => {
7769
          'generics' => [
7770
          ],
7771
          'is_floating_block' => 1,
7772
          'mask' => {
7773
            'Block_Handle' => 41.0009765625,
7774
            'Block_handle' => 41.0009765625,
7775
            'MDL_Handle' => 3.0009765625,
7776
            'MDL_handle' => 3.0009765625,
7777
            'arith_type' => 1,
7778
            'bin_pt' => 14,
7779
            'block_config' => 'sysgen_blockset:toreg_config',
7780
            'block_handle' => 41.0009765625,
7781
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7782
            'block_type' => 'toreg',
7783
            'dbl_ovrd' => 0,
7784
            'explicit_data_type' => 0,
7785
            'init' => 0,
7786
            'init_bit_vector' => '0b',
7787
            'mdl_handle' => 3.0009765625,
7788
            'model_handle' => 3.0009765625,
7789
            'n_bits' => 16,
7790
            'ownership' => 1,
7791
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7792
            'shared_memory_name' => 'register05tv',
7793
          },
7794
          'needs_vhdl_wrapper' => 0,
7795
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
7796
        },
7797
        'entityName' => 'x_x2',
7798
        'ports' => {
7799
          'ce' => {
7800
            'attributes' => {
7801
              'domain' => '',
7802
              'group' => 1,
7803
              'isCe' => 1,
7804
              'is_floating_block' => 1,
7805
              'period' => 1,
7806
              'type' => 'logic',
7807
            },
7808
            'direction' => 'in',
7809
            'hdlType' => 'std_logic',
7810
            'width' => 1,
7811
          },
7812
          'clk' => {
7813
            'attributes' => {
7814
              'domain' => '',
7815
              'group' => 1,
7816
              'isClk' => 1,
7817
              'is_floating_block' => 1,
7818
              'period' => 1,
7819
              'type' => 'logic',
7820
            },
7821
            'direction' => 'in',
7822
            'hdlType' => 'std_logic',
7823
            'width' => 1,
7824
          },
7825
          'clr' => {
7826
            'attributes' => {
7827
              'domain' => '',
7828
              'group' => 1,
7829
              'isClr' => 1,
7830
              'is_floating_block' => 1,
7831
              'period' => 1,
7832
              'type' => 'logic',
7833
              'valid_bit_used' => 0,
7834
            },
7835
            'direction' => 'in',
7836
            'hdlType' => 'std_logic',
7837
            'width' => 1,
7838
          },
7839
          'data_in' => {
7840
            'attributes' => {
7841
              'bin_pt' => 0,
7842
              'is_floating_block' => 1,
7843
              'must_be_hdl_vector' => 1,
7844
              'period' => 1,
7845
              'port_id' => 0,
7846
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/data_in',
7847
              'type' => 'Bool',
7848
            },
7849
            'direction' => 'in',
7850
            'hdlType' => 'std_logic_vector(0 downto 0)',
7851
            'width' => 1,
7852
          },
7853
          'dout' => {
7854
            'attributes' => {
7855
              'bin_pt' => 0,
7856
              'is_floating_block' => 1,
7857
              'must_be_hdl_vector' => 1,
7858
              'period' => 1,
7859
              'port_id' => 0,
7860
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/dout',
7861
              'type' => 'Bool',
7862
            },
7863
            'direction' => 'out',
7864
            'hdlType' => 'std_logic_vector(0 downto 0)',
7865
            'width' => 1,
7866
          },
7867
          'en' => {
7868
            'attributes' => {
7869
              'bin_pt' => 0,
7870
              'is_floating_block' => 1,
7871
              'must_be_hdl_vector' => 1,
7872
              'period' => 1,
7873
              'port_id' => 1,
7874
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/en',
7875
              'type' => 'Bool',
7876
            },
7877
            'direction' => 'in',
7878
            'hdlType' => 'std_logic_vector(0 downto 0)',
7879
            'width' => 1,
7880
          },
7881
        },
7882
      },
7883
      'entityName' => 'x_x2',
7884
    },
7885
    'to_register13' => {
7886
      'connections' => {
7887
        'ce' => 'ce_1_sg',
7888
        'clk' => 'clk_1_sg',
7889
        'clr' => [
7890
          'constant',
7891
          '\'0\'',
7892
        ],
7893
        'data_in' => 'reg05_td_net_x0',
7894
        'dout' => 'to_register13_dout_net',
7895
        'en' => 'constant5_op_net_x4',
7896
      },
7897
      'entity' => {
7898
        'attributes' => {
7899
          'generics' => [
7900
          ],
7901
          'is_floating_block' => 1,
7902
          'mask' => {
7903
            'Block_Handle' => 42.0009765625,
7904
            'Block_handle' => 42.0009765625,
7905
            'MDL_Handle' => 3.0009765625,
7906
            'MDL_handle' => 3.0009765625,
7907
            'arith_type' => 1,
7908
            'bin_pt' => 14,
7909
            'block_config' => 'sysgen_blockset:toreg_config',
7910
            'block_handle' => 42.0009765625,
7911
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7912
            'block_type' => 'toreg',
7913
            'dbl_ovrd' => 0,
7914
            'explicit_data_type' => 0,
7915
            'init' => 0,
7916
            'init_bit_vector' => '00000000000000000000000000000000b',
7917
            'mdl_handle' => 3.0009765625,
7918
            'model_handle' => 3.0009765625,
7919
            'n_bits' => 16,
7920
            'ownership' => 1,
7921
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
7922
            'shared_memory_name' => 'register05td',
7923
          },
7924
          'needs_vhdl_wrapper' => 0,
7925
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
7926
        },
7927
        'entityName' => 'x_x3',
7928
        'ports' => {
7929
          'ce' => {
7930
            'attributes' => {
7931
              'domain' => '',
7932
              'group' => 1,
7933
              'isCe' => 1,
7934
              'is_floating_block' => 1,
7935
              'period' => 1,
7936
              'type' => 'logic',
7937
            },
7938
            'direction' => 'in',
7939
            'hdlType' => 'std_logic',
7940
            'width' => 1,
7941
          },
7942
          'clk' => {
7943
            'attributes' => {
7944
              'domain' => '',
7945
              'group' => 1,
7946
              'isClk' => 1,
7947
              'is_floating_block' => 1,
7948
              'period' => 1,
7949
              'type' => 'logic',
7950
            },
7951
            'direction' => 'in',
7952
            'hdlType' => 'std_logic',
7953
            'width' => 1,
7954
          },
7955
          'clr' => {
7956
            'attributes' => {
7957
              'domain' => '',
7958
              'group' => 1,
7959
              'isClr' => 1,
7960
              'is_floating_block' => 1,
7961
              'period' => 1,
7962
              'type' => 'logic',
7963
              'valid_bit_used' => 0,
7964
            },
7965
            'direction' => 'in',
7966
            'hdlType' => 'std_logic',
7967
            'width' => 1,
7968
          },
7969
          'data_in' => {
7970
            'attributes' => {
7971
              'bin_pt' => 0,
7972
              'is_floating_block' => 1,
7973
              'must_be_hdl_vector' => 1,
7974
              'period' => 1,
7975
              'port_id' => 0,
7976
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/data_in',
7977
              'type' => 'UFix_32_0',
7978
            },
7979
            'direction' => 'in',
7980
            'hdlType' => 'std_logic_vector(31 downto 0)',
7981
            'width' => 32,
7982
          },
7983
          'dout' => {
7984
            'attributes' => {
7985
              'bin_pt' => 0,
7986
              'is_floating_block' => 1,
7987
              'must_be_hdl_vector' => 1,
7988
              'period' => 1,
7989
              'port_id' => 0,
7990
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/dout',
7991
              'type' => 'UFix_32_0',
7992
            },
7993
            'direction' => 'out',
7994
            'hdlType' => 'std_logic_vector(31 downto 0)',
7995
            'width' => 32,
7996
          },
7997
          'en' => {
7998
            'attributes' => {
7999
              'bin_pt' => 0,
8000
              'is_floating_block' => 1,
8001
              'must_be_hdl_vector' => 1,
8002
              'period' => 1,
8003
              'port_id' => 1,
8004
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/en',
8005
              'type' => 'Bool',
8006
            },
8007
            'direction' => 'in',
8008
            'hdlType' => 'std_logic_vector(0 downto 0)',
8009
            'width' => 1,
8010
          },
8011
        },
8012
      },
8013
      'entityName' => 'x_x3',
8014
    },
8015
    'to_register14' => {
8016
      'connections' => {
8017
        'ce' => 'ce_1_sg',
8018
        'clk' => 'clk_1_sg',
8019
        'clr' => [
8020
          'constant',
8021
          '\'0\'',
8022
        ],
8023
        'data_in' => 'reg06_tv_net_x0',
8024
        'dout' => 'to_register14_dout_net',
8025
        'en' => 'constant5_op_net_x5',
8026
      },
8027
      'entity' => {
8028
        'attributes' => {
8029
          'generics' => [
8030
          ],
8031
          'is_floating_block' => 1,
8032
          'mask' => {
8033
            'Block_Handle' => 43.0009765625,
8034
            'Block_handle' => 43.0009765625,
8035
            'MDL_Handle' => 3.0009765625,
8036
            'MDL_handle' => 3.0009765625,
8037
            'arith_type' => 1,
8038
            'bin_pt' => 14,
8039
            'block_config' => 'sysgen_blockset:toreg_config',
8040
            'block_handle' => 43.0009765625,
8041
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
8042
            'block_type' => 'toreg',
8043
            'dbl_ovrd' => 0,
8044
            'explicit_data_type' => 0,
8045
            'init' => 0,
8046
            'init_bit_vector' => '0b',
8047
            'mdl_handle' => 3.0009765625,
8048
            'model_handle' => 3.0009765625,
8049
            'n_bits' => 16,
8050
            'ownership' => 1,
8051
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8052
            'shared_memory_name' => 'register06tv',
8053
          },
8054
          'needs_vhdl_wrapper' => 0,
8055
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
8056
        },
8057
        'entityName' => 'x_x4',
8058
        'ports' => {
8059
          'ce' => {
8060
            'attributes' => {
8061
              'domain' => '',
8062
              'group' => 1,
8063
              'isCe' => 1,
8064
              'is_floating_block' => 1,
8065
              'period' => 1,
8066
              'type' => 'logic',
8067
            },
8068
            'direction' => 'in',
8069
            'hdlType' => 'std_logic',
8070
            'width' => 1,
8071
          },
8072
          'clk' => {
8073
            'attributes' => {
8074
              'domain' => '',
8075
              'group' => 1,
8076
              'isClk' => 1,
8077
              'is_floating_block' => 1,
8078
              'period' => 1,
8079
              'type' => 'logic',
8080
            },
8081
            'direction' => 'in',
8082
            'hdlType' => 'std_logic',
8083
            'width' => 1,
8084
          },
8085
          'clr' => {
8086
            'attributes' => {
8087
              'domain' => '',
8088
              'group' => 1,
8089
              'isClr' => 1,
8090
              'is_floating_block' => 1,
8091
              'period' => 1,
8092
              'type' => 'logic',
8093
              'valid_bit_used' => 0,
8094
            },
8095
            'direction' => 'in',
8096
            'hdlType' => 'std_logic',
8097
            'width' => 1,
8098
          },
8099
          'data_in' => {
8100
            'attributes' => {
8101
              'bin_pt' => 0,
8102
              'is_floating_block' => 1,
8103
              'must_be_hdl_vector' => 1,
8104
              'period' => 1,
8105
              'port_id' => 0,
8106
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/data_in',
8107
              'type' => 'Bool',
8108
            },
8109
            'direction' => 'in',
8110
            'hdlType' => 'std_logic_vector(0 downto 0)',
8111
            'width' => 1,
8112
          },
8113
          'dout' => {
8114
            'attributes' => {
8115
              'bin_pt' => 0,
8116
              'is_floating_block' => 1,
8117
              'must_be_hdl_vector' => 1,
8118
              'period' => 1,
8119
              'port_id' => 0,
8120
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/dout',
8121
              'type' => 'Bool',
8122
            },
8123
            'direction' => 'out',
8124
            'hdlType' => 'std_logic_vector(0 downto 0)',
8125
            'width' => 1,
8126
          },
8127
          'en' => {
8128
            'attributes' => {
8129
              'bin_pt' => 0,
8130
              'is_floating_block' => 1,
8131
              'must_be_hdl_vector' => 1,
8132
              'period' => 1,
8133
              'port_id' => 1,
8134
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/en',
8135
              'type' => 'Bool',
8136
            },
8137
            'direction' => 'in',
8138
            'hdlType' => 'std_logic_vector(0 downto 0)',
8139
            'width' => 1,
8140
          },
8141
        },
8142
      },
8143
      'entityName' => 'x_x4',
8144
    },
8145
    'to_register15' => {
8146
      'connections' => {
8147
        'ce' => 'ce_1_sg',
8148
        'clk' => 'clk_1_sg',
8149
        'clr' => [
8150
          'constant',
8151
          '\'0\'',
8152
        ],
8153
        'data_in' => 'reg06_td_net_x0',
8154
        'dout' => 'to_register15_dout_net',
8155
        'en' => 'constant5_op_net_x6',
8156
      },
8157
      'entity' => {
8158
        'attributes' => {
8159
          'generics' => [
8160
          ],
8161
          'is_floating_block' => 1,
8162
          'mask' => {
8163
            'Block_Handle' => 44.0009765625,
8164
            'Block_handle' => 44.0009765625,
8165
            'MDL_Handle' => 3.0009765625,
8166
            'MDL_handle' => 3.0009765625,
8167
            'arith_type' => 1,
8168
            'bin_pt' => 14,
8169
            'block_config' => 'sysgen_blockset:toreg_config',
8170
            'block_handle' => 44.0009765625,
8171
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
8172
            'block_type' => 'toreg',
8173
            'dbl_ovrd' => 0,
8174
            'explicit_data_type' => 0,
8175
            'init' => 0,
8176
            'init_bit_vector' => '00000000000000000000000000000000b',
8177
            'mdl_handle' => 3.0009765625,
8178
            'model_handle' => 3.0009765625,
8179
            'n_bits' => 16,
8180
            'ownership' => 1,
8181
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8182
            'shared_memory_name' => 'register06td',
8183
          },
8184
          'needs_vhdl_wrapper' => 0,
8185
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
8186
        },
8187
        'entityName' => 'x_x5',
8188
        'ports' => {
8189
          'ce' => {
8190
            'attributes' => {
8191
              'domain' => '',
8192
              'group' => 1,
8193
              'isCe' => 1,
8194
              'is_floating_block' => 1,
8195
              'period' => 1,
8196
              'type' => 'logic',
8197
            },
8198
            'direction' => 'in',
8199
            'hdlType' => 'std_logic',
8200
            'width' => 1,
8201
          },
8202
          'clk' => {
8203
            'attributes' => {
8204
              'domain' => '',
8205
              'group' => 1,
8206
              'isClk' => 1,
8207
              'is_floating_block' => 1,
8208
              'period' => 1,
8209
              'type' => 'logic',
8210
            },
8211
            'direction' => 'in',
8212
            'hdlType' => 'std_logic',
8213
            'width' => 1,
8214
          },
8215
          'clr' => {
8216
            'attributes' => {
8217
              'domain' => '',
8218
              'group' => 1,
8219
              'isClr' => 1,
8220
              'is_floating_block' => 1,
8221
              'period' => 1,
8222
              'type' => 'logic',
8223
              'valid_bit_used' => 0,
8224
            },
8225
            'direction' => 'in',
8226
            'hdlType' => 'std_logic',
8227
            'width' => 1,
8228
          },
8229
          'data_in' => {
8230
            'attributes' => {
8231
              'bin_pt' => 0,
8232
              'is_floating_block' => 1,
8233
              'must_be_hdl_vector' => 1,
8234
              'period' => 1,
8235
              'port_id' => 0,
8236
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/data_in',
8237
              'type' => 'UFix_32_0',
8238
            },
8239
            'direction' => 'in',
8240
            'hdlType' => 'std_logic_vector(31 downto 0)',
8241
            'width' => 32,
8242
          },
8243
          'dout' => {
8244
            'attributes' => {
8245
              'bin_pt' => 0,
8246
              'is_floating_block' => 1,
8247
              'must_be_hdl_vector' => 1,
8248
              'period' => 1,
8249
              'port_id' => 0,
8250
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/dout',
8251
              'type' => 'UFix_32_0',
8252
            },
8253
            'direction' => 'out',
8254
            'hdlType' => 'std_logic_vector(31 downto 0)',
8255
            'width' => 32,
8256
          },
8257
          'en' => {
8258
            'attributes' => {
8259
              'bin_pt' => 0,
8260
              'is_floating_block' => 1,
8261
              'must_be_hdl_vector' => 1,
8262
              'period' => 1,
8263
              'port_id' => 1,
8264
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/en',
8265
              'type' => 'Bool',
8266
            },
8267
            'direction' => 'in',
8268
            'hdlType' => 'std_logic_vector(0 downto 0)',
8269
            'width' => 1,
8270
          },
8271
        },
8272
      },
8273
      'entityName' => 'x_x5',
8274
    },
8275
    'to_register16' => {
8276
      'connections' => {
8277
        'ce' => 'ce_1_sg',
8278
        'clk' => 'clk_1_sg',
8279
        'clr' => [
8280
          'constant',
8281
          '\'0\'',
8282
        ],
8283
        'data_in' => 'reg07_tv_net_x0',
8284
        'dout' => 'to_register16_dout_net',
8285
        'en' => 'constant5_op_net_x7',
8286
      },
8287
      'entity' => {
8288
        'attributes' => {
8289
          'generics' => [
8290
          ],
8291
          'is_floating_block' => 1,
8292
          'mask' => {
8293
            'Block_Handle' => 45.0009765625,
8294
            'Block_handle' => 45.0009765625,
8295
            'MDL_Handle' => 3.0009765625,
8296
            'MDL_handle' => 3.0009765625,
8297
            'arith_type' => 1,
8298
            'bin_pt' => 14,
8299
            'block_config' => 'sysgen_blockset:toreg_config',
8300
            'block_handle' => 45.0009765625,
8301
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8302
            'block_type' => 'toreg',
8303
            'dbl_ovrd' => 0,
8304
            'explicit_data_type' => 0,
8305
            'init' => 0,
8306
            'init_bit_vector' => '0b',
8307
            'mdl_handle' => 3.0009765625,
8308
            'model_handle' => 3.0009765625,
8309
            'n_bits' => 16,
8310
            'ownership' => 1,
8311
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8312
            'shared_memory_name' => 'register07tv',
8313
          },
8314
          'needs_vhdl_wrapper' => 0,
8315
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
8316
        },
8317
        'entityName' => 'x_x6',
8318
        'ports' => {
8319
          'ce' => {
8320
            'attributes' => {
8321
              'domain' => '',
8322
              'group' => 1,
8323
              'isCe' => 1,
8324
              'is_floating_block' => 1,
8325
              'period' => 1,
8326
              'type' => 'logic',
8327
            },
8328
            'direction' => 'in',
8329
            'hdlType' => 'std_logic',
8330
            'width' => 1,
8331
          },
8332
          'clk' => {
8333
            'attributes' => {
8334
              'domain' => '',
8335
              'group' => 1,
8336
              'isClk' => 1,
8337
              'is_floating_block' => 1,
8338
              'period' => 1,
8339
              'type' => 'logic',
8340
            },
8341
            'direction' => 'in',
8342
            'hdlType' => 'std_logic',
8343
            'width' => 1,
8344
          },
8345
          'clr' => {
8346
            'attributes' => {
8347
              'domain' => '',
8348
              'group' => 1,
8349
              'isClr' => 1,
8350
              'is_floating_block' => 1,
8351
              'period' => 1,
8352
              'type' => 'logic',
8353
              'valid_bit_used' => 0,
8354
            },
8355
            'direction' => 'in',
8356
            'hdlType' => 'std_logic',
8357
            'width' => 1,
8358
          },
8359
          'data_in' => {
8360
            'attributes' => {
8361
              'bin_pt' => 0,
8362
              'is_floating_block' => 1,
8363
              'must_be_hdl_vector' => 1,
8364
              'period' => 1,
8365
              'port_id' => 0,
8366
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/data_in',
8367
              'type' => 'Bool',
8368
            },
8369
            'direction' => 'in',
8370
            'hdlType' => 'std_logic_vector(0 downto 0)',
8371
            'width' => 1,
8372
          },
8373
          'dout' => {
8374
            'attributes' => {
8375
              'bin_pt' => 0,
8376
              'is_floating_block' => 1,
8377
              'must_be_hdl_vector' => 1,
8378
              'period' => 1,
8379
              'port_id' => 0,
8380
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/dout',
8381
              'type' => 'Bool',
8382
            },
8383
            'direction' => 'out',
8384
            'hdlType' => 'std_logic_vector(0 downto 0)',
8385
            'width' => 1,
8386
          },
8387
          'en' => {
8388
            'attributes' => {
8389
              'bin_pt' => 0,
8390
              'is_floating_block' => 1,
8391
              'must_be_hdl_vector' => 1,
8392
              'period' => 1,
8393
              'port_id' => 1,
8394
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/en',
8395
              'type' => 'Bool',
8396
            },
8397
            'direction' => 'in',
8398
            'hdlType' => 'std_logic_vector(0 downto 0)',
8399
            'width' => 1,
8400
          },
8401
        },
8402
      },
8403
      'entityName' => 'x_x6',
8404
    },
8405
    'to_register17' => {
8406
      'connections' => {
8407
        'ce' => 'ce_1_sg',
8408
        'clk' => 'clk_1_sg',
8409
        'clr' => [
8410
          'constant',
8411
          '\'0\'',
8412
        ],
8413
        'data_in' => 'reg07_td_net_x0',
8414
        'dout' => 'to_register17_dout_net',
8415
        'en' => 'constant5_op_net_x8',
8416
      },
8417
      'entity' => {
8418
        'attributes' => {
8419
          'generics' => [
8420
          ],
8421
          'is_floating_block' => 1,
8422
          'mask' => {
8423
            'Block_Handle' => 46.0009765625,
8424
            'Block_handle' => 46.0009765625,
8425
            'MDL_Handle' => 3.0009765625,
8426
            'MDL_handle' => 3.0009765625,
8427
            'arith_type' => 1,
8428
            'bin_pt' => 14,
8429
            'block_config' => 'sysgen_blockset:toreg_config',
8430
            'block_handle' => 46.0009765625,
8431
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8432
            'block_type' => 'toreg',
8433
            'dbl_ovrd' => 0,
8434
            'explicit_data_type' => 0,
8435
            'init' => 0,
8436
            'init_bit_vector' => '00000000000000000000000000000000b',
8437
            'mdl_handle' => 3.0009765625,
8438
            'model_handle' => 3.0009765625,
8439
            'n_bits' => 16,
8440
            'ownership' => 1,
8441
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8442
            'shared_memory_name' => 'register07td',
8443
          },
8444
          'needs_vhdl_wrapper' => 0,
8445
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
8446
        },
8447
        'entityName' => 'x_x7',
8448
        'ports' => {
8449
          'ce' => {
8450
            'attributes' => {
8451
              'domain' => '',
8452
              'group' => 1,
8453
              'isCe' => 1,
8454
              'is_floating_block' => 1,
8455
              'period' => 1,
8456
              'type' => 'logic',
8457
            },
8458
            'direction' => 'in',
8459
            'hdlType' => 'std_logic',
8460
            'width' => 1,
8461
          },
8462
          'clk' => {
8463
            'attributes' => {
8464
              'domain' => '',
8465
              'group' => 1,
8466
              'isClk' => 1,
8467
              'is_floating_block' => 1,
8468
              'period' => 1,
8469
              'type' => 'logic',
8470
            },
8471
            'direction' => 'in',
8472
            'hdlType' => 'std_logic',
8473
            'width' => 1,
8474
          },
8475
          'clr' => {
8476
            'attributes' => {
8477
              'domain' => '',
8478
              'group' => 1,
8479
              'isClr' => 1,
8480
              'is_floating_block' => 1,
8481
              'period' => 1,
8482
              'type' => 'logic',
8483
              'valid_bit_used' => 0,
8484
            },
8485
            'direction' => 'in',
8486
            'hdlType' => 'std_logic',
8487
            'width' => 1,
8488
          },
8489
          'data_in' => {
8490
            'attributes' => {
8491
              'bin_pt' => 0,
8492
              'is_floating_block' => 1,
8493
              'must_be_hdl_vector' => 1,
8494
              'period' => 1,
8495
              'port_id' => 0,
8496
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/data_in',
8497
              'type' => 'UFix_32_0',
8498
            },
8499
            'direction' => 'in',
8500
            'hdlType' => 'std_logic_vector(31 downto 0)',
8501
            'width' => 32,
8502
          },
8503
          'dout' => {
8504
            'attributes' => {
8505
              'bin_pt' => 0,
8506
              'is_floating_block' => 1,
8507
              'must_be_hdl_vector' => 1,
8508
              'period' => 1,
8509
              'port_id' => 0,
8510
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/dout',
8511
              'type' => 'UFix_32_0',
8512
            },
8513
            'direction' => 'out',
8514
            'hdlType' => 'std_logic_vector(31 downto 0)',
8515
            'width' => 32,
8516
          },
8517
          'en' => {
8518
            'attributes' => {
8519
              'bin_pt' => 0,
8520
              'is_floating_block' => 1,
8521
              'must_be_hdl_vector' => 1,
8522
              'period' => 1,
8523
              'port_id' => 1,
8524
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/en',
8525
              'type' => 'Bool',
8526
            },
8527
            'direction' => 'in',
8528
            'hdlType' => 'std_logic_vector(0 downto 0)',
8529
            'width' => 1,
8530
          },
8531
        },
8532
      },
8533
      'entityName' => 'x_x7',
8534
    },
8535
    'to_register18' => {
8536
      'connections' => {
8537
        'ce' => 'ce_1_sg',
8538
        'clk' => 'clk_1_sg',
8539
        'clr' => [
8540
          'constant',
8541
          '\'0\'',
8542
        ],
8543
        'data_in' => 'dma_host2board_busy_net_x0',
8544
        'dout' => 'to_register18_dout_net',
8545
        'en' => 'constant5_op_net_x9',
8546
      },
8547
      'entity' => {
8548
        'attributes' => {
8549
          'generics' => [
8550
          ],
8551
          'is_floating_block' => 1,
8552
          'mask' => {
8553
            'Block_Handle' => 47.0009765625,
8554
            'Block_handle' => 47.0009765625,
8555
            'MDL_Handle' => 3.0009765625,
8556
            'MDL_handle' => 3.0009765625,
8557
            'arith_type' => 1,
8558
            'bin_pt' => 14,
8559
            'block_config' => 'sysgen_blockset:toreg_config',
8560
            'block_handle' => 47.0009765625,
8561
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8562
            'block_type' => 'toreg',
8563
            'dbl_ovrd' => 0,
8564
            'explicit_data_type' => 0,
8565
            'init' => 0,
8566
            'init_bit_vector' => '0b',
8567
            'mdl_handle' => 3.0009765625,
8568
            'model_handle' => 3.0009765625,
8569
            'n_bits' => 16,
8570
            'ownership' => 1,
8571
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8572
            'shared_memory_name' => 'DMA_Host2Board_Busy',
8573
          },
8574
          'needs_vhdl_wrapper' => 0,
8575
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
8576
        },
8577
        'entityName' => 'x_x8',
8578
        'ports' => {
8579
          'ce' => {
8580
            'attributes' => {
8581
              'domain' => '',
8582
              'group' => 1,
8583
              'isCe' => 1,
8584
              'is_floating_block' => 1,
8585
              'period' => 1,
8586
              'type' => 'logic',
8587
            },
8588
            'direction' => 'in',
8589
            'hdlType' => 'std_logic',
8590
            'width' => 1,
8591
          },
8592
          'clk' => {
8593
            'attributes' => {
8594
              'domain' => '',
8595
              'group' => 1,
8596
              'isClk' => 1,
8597
              'is_floating_block' => 1,
8598
              'period' => 1,
8599
              'type' => 'logic',
8600
            },
8601
            'direction' => 'in',
8602
            'hdlType' => 'std_logic',
8603
            'width' => 1,
8604
          },
8605
          'clr' => {
8606
            'attributes' => {
8607
              'domain' => '',
8608
              'group' => 1,
8609
              'isClr' => 1,
8610
              'is_floating_block' => 1,
8611
              'period' => 1,
8612
              'type' => 'logic',
8613
              'valid_bit_used' => 0,
8614
            },
8615
            'direction' => 'in',
8616
            'hdlType' => 'std_logic',
8617
            'width' => 1,
8618
          },
8619
          'data_in' => {
8620
            'attributes' => {
8621
              'bin_pt' => 0,
8622
              'is_floating_block' => 1,
8623
              'must_be_hdl_vector' => 1,
8624
              'period' => 1,
8625
              'port_id' => 0,
8626
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/data_in',
8627
              'type' => 'UFix_1_0',
8628
            },
8629
            'direction' => 'in',
8630
            'hdlType' => 'std_logic_vector(0 downto 0)',
8631
            'width' => 1,
8632
          },
8633
          'dout' => {
8634
            'attributes' => {
8635
              'bin_pt' => 0,
8636
              'is_floating_block' => 1,
8637
              'must_be_hdl_vector' => 1,
8638
              'period' => 1,
8639
              'port_id' => 0,
8640
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/dout',
8641
              'type' => 'UFix_1_0',
8642
            },
8643
            'direction' => 'out',
8644
            'hdlType' => 'std_logic_vector(0 downto 0)',
8645
            'width' => 1,
8646
          },
8647
          'en' => {
8648
            'attributes' => {
8649
              'bin_pt' => 0,
8650
              'is_floating_block' => 1,
8651
              'must_be_hdl_vector' => 1,
8652
              'period' => 1,
8653
              'port_id' => 1,
8654
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/en',
8655
              'type' => 'Bool',
8656
            },
8657
            'direction' => 'in',
8658
            'hdlType' => 'std_logic_vector(0 downto 0)',
8659
            'width' => 1,
8660
          },
8661
        },
8662
      },
8663
      'entityName' => 'x_x8',
8664
    },
8665
    'to_register19' => {
8666
      'connections' => {
8667
        'ce' => 'ce_1_sg',
8668
        'clk' => 'clk_1_sg',
8669
        'clr' => [
8670
          'constant',
8671
          '\'0\'',
8672
        ],
8673
        'data_in' => 'dma_host2board_done_net_x0',
8674
        'dout' => 'to_register19_dout_net',
8675
        'en' => 'constant5_op_net_x10',
8676
      },
8677
      'entity' => {
8678
        'attributes' => {
8679
          'generics' => [
8680
          ],
8681
          'is_floating_block' => 1,
8682
          'mask' => {
8683
            'Block_Handle' => 48.0009765625,
8684
            'Block_handle' => 48.0009765625,
8685
            'MDL_Handle' => 3.0009765625,
8686
            'MDL_handle' => 3.0009765625,
8687
            'arith_type' => 1,
8688
            'bin_pt' => 14,
8689
            'block_config' => 'sysgen_blockset:toreg_config',
8690
            'block_handle' => 48.0009765625,
8691
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8692
            'block_type' => 'toreg',
8693
            'dbl_ovrd' => 0,
8694
            'explicit_data_type' => 0,
8695
            'init' => 0,
8696
            'init_bit_vector' => '0b',
8697
            'mdl_handle' => 3.0009765625,
8698
            'model_handle' => 3.0009765625,
8699
            'n_bits' => 16,
8700
            'ownership' => 1,
8701
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8702
            'shared_memory_name' => 'DMA_Host2Board_Done',
8703
          },
8704
          'needs_vhdl_wrapper' => 0,
8705
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
8706
        },
8707
        'entityName' => 'x_x9',
8708
        'ports' => {
8709
          'ce' => {
8710
            'attributes' => {
8711
              'domain' => '',
8712
              'group' => 1,
8713
              'isCe' => 1,
8714
              'is_floating_block' => 1,
8715
              'period' => 1,
8716
              'type' => 'logic',
8717
            },
8718
            'direction' => 'in',
8719
            'hdlType' => 'std_logic',
8720
            'width' => 1,
8721
          },
8722
          'clk' => {
8723
            'attributes' => {
8724
              'domain' => '',
8725
              'group' => 1,
8726
              'isClk' => 1,
8727
              'is_floating_block' => 1,
8728
              'period' => 1,
8729
              'type' => 'logic',
8730
            },
8731
            'direction' => 'in',
8732
            'hdlType' => 'std_logic',
8733
            'width' => 1,
8734
          },
8735
          'clr' => {
8736
            'attributes' => {
8737
              'domain' => '',
8738
              'group' => 1,
8739
              'isClr' => 1,
8740
              'is_floating_block' => 1,
8741
              'period' => 1,
8742
              'type' => 'logic',
8743
              'valid_bit_used' => 0,
8744
            },
8745
            'direction' => 'in',
8746
            'hdlType' => 'std_logic',
8747
            'width' => 1,
8748
          },
8749
          'data_in' => {
8750
            'attributes' => {
8751
              'bin_pt' => 0,
8752
              'is_floating_block' => 1,
8753
              'must_be_hdl_vector' => 1,
8754
              'period' => 1,
8755
              'port_id' => 0,
8756
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/data_in',
8757
              'type' => 'UFix_1_0',
8758
            },
8759
            'direction' => 'in',
8760
            'hdlType' => 'std_logic_vector(0 downto 0)',
8761
            'width' => 1,
8762
          },
8763
          'dout' => {
8764
            'attributes' => {
8765
              'bin_pt' => 0,
8766
              'is_floating_block' => 1,
8767
              'must_be_hdl_vector' => 1,
8768
              'period' => 1,
8769
              'port_id' => 0,
8770
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/dout',
8771
              'type' => 'UFix_1_0',
8772
            },
8773
            'direction' => 'out',
8774
            'hdlType' => 'std_logic_vector(0 downto 0)',
8775
            'width' => 1,
8776
          },
8777
          'en' => {
8778
            'attributes' => {
8779
              'bin_pt' => 0,
8780
              'is_floating_block' => 1,
8781
              'must_be_hdl_vector' => 1,
8782
              'period' => 1,
8783
              'port_id' => 1,
8784
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/en',
8785
              'type' => 'Bool',
8786
            },
8787
            'direction' => 'in',
8788
            'hdlType' => 'std_logic_vector(0 downto 0)',
8789
            'width' => 1,
8790
          },
8791
        },
8792
      },
8793
      'entityName' => 'x_x9',
8794
    },
8795
    'to_register2' => {
8796
      'connections' => {
8797
        'ce' => 'ce_1_sg',
8798
        'clk' => 'clk_1_sg',
8799
        'clr' => [
8800
          'constant',
8801
          '\'0\'',
8802
        ],
8803
        'data_in' => 'debug_in_3i_net_x0',
8804
        'dout' => 'to_register2_dout_net',
8805
        'en' => 'constant5_op_net_x11',
8806
      },
8807
      'entity' => {
8808
        'attributes' => {
8809
          'generics' => [
8810
          ],
8811
          'is_floating_block' => 1,
8812
          'mask' => {
8813
            'Block_Handle' => 49.0009765625,
8814
            'Block_handle' => 49.0009765625,
8815
            'MDL_Handle' => 3.0009765625,
8816
            'MDL_handle' => 3.0009765625,
8817
            'arith_type' => 1,
8818
            'bin_pt' => 14,
8819
            'block_config' => 'sysgen_blockset:toreg_config',
8820
            'block_handle' => 49.0009765625,
8821
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8822
            'block_type' => 'toreg',
8823
            'dbl_ovrd' => 0,
8824
            'explicit_data_type' => 0,
8825
            'init' => 0,
8826
            'init_bit_vector' => '00000000000000000000000000000000b',
8827
            'mdl_handle' => 3.0009765625,
8828
            'model_handle' => 3.0009765625,
8829
            'n_bits' => 16,
8830
            'ownership' => 1,
8831
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8832
            'shared_memory_name' => 'debug3i',
8833
          },
8834
          'needs_vhdl_wrapper' => 0,
8835
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
8836
        },
8837
        'entityName' => 'x_x10',
8838
        'ports' => {
8839
          'ce' => {
8840
            'attributes' => {
8841
              'domain' => '',
8842
              'group' => 1,
8843
              'isCe' => 1,
8844
              'is_floating_block' => 1,
8845
              'period' => 1,
8846
              'type' => 'logic',
8847
            },
8848
            'direction' => 'in',
8849
            'hdlType' => 'std_logic',
8850
            'width' => 1,
8851
          },
8852
          'clk' => {
8853
            'attributes' => {
8854
              'domain' => '',
8855
              'group' => 1,
8856
              'isClk' => 1,
8857
              'is_floating_block' => 1,
8858
              'period' => 1,
8859
              'type' => 'logic',
8860
            },
8861
            'direction' => 'in',
8862
            'hdlType' => 'std_logic',
8863
            'width' => 1,
8864
          },
8865
          'clr' => {
8866
            'attributes' => {
8867
              'domain' => '',
8868
              'group' => 1,
8869
              'isClr' => 1,
8870
              'is_floating_block' => 1,
8871
              'period' => 1,
8872
              'type' => 'logic',
8873
              'valid_bit_used' => 0,
8874
            },
8875
            'direction' => 'in',
8876
            'hdlType' => 'std_logic',
8877
            'width' => 1,
8878
          },
8879
          'data_in' => {
8880
            'attributes' => {
8881
              'bin_pt' => 0,
8882
              'is_floating_block' => 1,
8883
              'must_be_hdl_vector' => 1,
8884
              'period' => 1,
8885
              'port_id' => 0,
8886
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/data_in',
8887
              'type' => 'UFix_32_0',
8888
            },
8889
            'direction' => 'in',
8890
            'hdlType' => 'std_logic_vector(31 downto 0)',
8891
            'width' => 32,
8892
          },
8893
          'dout' => {
8894
            'attributes' => {
8895
              'bin_pt' => 0,
8896
              'is_floating_block' => 1,
8897
              'must_be_hdl_vector' => 1,
8898
              'period' => 1,
8899
              'port_id' => 0,
8900
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/dout',
8901
              'type' => 'UFix_32_0',
8902
            },
8903
            'direction' => 'out',
8904
            'hdlType' => 'std_logic_vector(31 downto 0)',
8905
            'width' => 32,
8906
          },
8907
          'en' => {
8908
            'attributes' => {
8909
              'bin_pt' => 0,
8910
              'is_floating_block' => 1,
8911
              'must_be_hdl_vector' => 1,
8912
              'period' => 1,
8913
              'port_id' => 1,
8914
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/en',
8915
              'type' => 'Bool',
8916
            },
8917
            'direction' => 'in',
8918
            'hdlType' => 'std_logic_vector(0 downto 0)',
8919
            'width' => 1,
8920
          },
8921
        },
8922
      },
8923
      'entityName' => 'x_x10',
8924
    },
8925
    'to_register20' => {
8926
      'connections' => {
8927
        'ce' => 'ce_1_sg',
8928
        'clk' => 'clk_1_sg',
8929
        'clr' => [
8930
          'constant',
8931
          '\'0\'',
8932
        ],
8933
        'data_in' => 'debug_in_4i_net_x0',
8934
        'dout' => 'to_register20_dout_net',
8935
        'en' => 'constant5_op_net_x12',
8936
      },
8937
      'entity' => {
8938
        'attributes' => {
8939
          'generics' => [
8940
          ],
8941
          'is_floating_block' => 1,
8942
          'mask' => {
8943
            'Block_Handle' => 50.0009765625,
8944
            'Block_handle' => 50.0009765625,
8945
            'MDL_Handle' => 3.0009765625,
8946
            'MDL_handle' => 3.0009765625,
8947
            'arith_type' => 1,
8948
            'bin_pt' => 14,
8949
            'block_config' => 'sysgen_blockset:toreg_config',
8950
            'block_handle' => 50.0009765625,
8951
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
8952
            'block_type' => 'toreg',
8953
            'dbl_ovrd' => 0,
8954
            'explicit_data_type' => 0,
8955
            'init' => 0,
8956
            'init_bit_vector' => '00000000000000000000000000000000b',
8957
            'mdl_handle' => 3.0009765625,
8958
            'model_handle' => 3.0009765625,
8959
            'n_bits' => 16,
8960
            'ownership' => 1,
8961
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
8962
            'shared_memory_name' => 'debug4i',
8963
          },
8964
          'needs_vhdl_wrapper' => 0,
8965
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
8966
        },
8967
        'entityName' => 'x_x11',
8968
        'ports' => {
8969
          'ce' => {
8970
            'attributes' => {
8971
              'domain' => '',
8972
              'group' => 1,
8973
              'isCe' => 1,
8974
              'is_floating_block' => 1,
8975
              'period' => 1,
8976
              'type' => 'logic',
8977
            },
8978
            'direction' => 'in',
8979
            'hdlType' => 'std_logic',
8980
            'width' => 1,
8981
          },
8982
          'clk' => {
8983
            'attributes' => {
8984
              'domain' => '',
8985
              'group' => 1,
8986
              'isClk' => 1,
8987
              'is_floating_block' => 1,
8988
              'period' => 1,
8989
              'type' => 'logic',
8990
            },
8991
            'direction' => 'in',
8992
            'hdlType' => 'std_logic',
8993
            'width' => 1,
8994
          },
8995
          'clr' => {
8996
            'attributes' => {
8997
              'domain' => '',
8998
              'group' => 1,
8999
              'isClr' => 1,
9000
              'is_floating_block' => 1,
9001
              'period' => 1,
9002
              'type' => 'logic',
9003
              'valid_bit_used' => 0,
9004
            },
9005
            'direction' => 'in',
9006
            'hdlType' => 'std_logic',
9007
            'width' => 1,
9008
          },
9009
          'data_in' => {
9010
            'attributes' => {
9011
              'bin_pt' => 0,
9012
              'is_floating_block' => 1,
9013
              'must_be_hdl_vector' => 1,
9014
              'period' => 1,
9015
              'port_id' => 0,
9016
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/data_in',
9017
              'type' => 'UFix_32_0',
9018
            },
9019
            'direction' => 'in',
9020
            'hdlType' => 'std_logic_vector(31 downto 0)',
9021
            'width' => 32,
9022
          },
9023
          'dout' => {
9024
            'attributes' => {
9025
              'bin_pt' => 0,
9026
              'is_floating_block' => 1,
9027
              'must_be_hdl_vector' => 1,
9028
              'period' => 1,
9029
              'port_id' => 0,
9030
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/dout',
9031
              'type' => 'UFix_32_0',
9032
            },
9033
            'direction' => 'out',
9034
            'hdlType' => 'std_logic_vector(31 downto 0)',
9035
            'width' => 32,
9036
          },
9037
          'en' => {
9038
            'attributes' => {
9039
              'bin_pt' => 0,
9040
              'is_floating_block' => 1,
9041
              'must_be_hdl_vector' => 1,
9042
              'period' => 1,
9043
              'port_id' => 1,
9044
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/en',
9045
              'type' => 'Bool',
9046
            },
9047
            'direction' => 'in',
9048
            'hdlType' => 'std_logic_vector(0 downto 0)',
9049
            'width' => 1,
9050
          },
9051
        },
9052
      },
9053
      'entityName' => 'x_x11',
9054
    },
9055
    'to_register21' => {
9056
      'connections' => {
9057
        'ce' => 'ce_1_sg',
9058
        'clk' => 'clk_1_sg',
9059
        'clr' => [
9060
          'constant',
9061
          '\'0\'',
9062
        ],
9063
        'data_in' => 'reg09_tv_net_x0',
9064
        'dout' => 'to_register21_dout_net',
9065
        'en' => 'constant1_op_net_x0',
9066
      },
9067
      'entity' => {
9068
        'attributes' => {
9069
          'generics' => [
9070
          ],
9071
          'is_floating_block' => 1,
9072
          'mask' => {
9073
            'Block_Handle' => 51.0009765625,
9074
            'Block_handle' => 51.0009765625,
9075
            'MDL_Handle' => 3.0009765625,
9076
            'MDL_handle' => 3.0009765625,
9077
            'arith_type' => 1,
9078
            'bin_pt' => 14,
9079
            'block_config' => 'sysgen_blockset:toreg_config',
9080
            'block_handle' => 51.0009765625,
9081
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
9082
            'block_type' => 'toreg',
9083
            'dbl_ovrd' => 0,
9084
            'explicit_data_type' => 0,
9085
            'init' => 0,
9086
            'init_bit_vector' => '0b',
9087
            'mdl_handle' => 3.0009765625,
9088
            'model_handle' => 3.0009765625,
9089
            'n_bits' => 16,
9090
            'ownership' => 1,
9091
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9092
            'shared_memory_name' => 'register09tv',
9093
          },
9094
          'needs_vhdl_wrapper' => 0,
9095
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
9096
        },
9097
        'entityName' => 'x_x12',
9098
        'ports' => {
9099
          'ce' => {
9100
            'attributes' => {
9101
              'domain' => '',
9102
              'group' => 1,
9103
              'isCe' => 1,
9104
              'is_floating_block' => 1,
9105
              'period' => 1,
9106
              'type' => 'logic',
9107
            },
9108
            'direction' => 'in',
9109
            'hdlType' => 'std_logic',
9110
            'width' => 1,
9111
          },
9112
          'clk' => {
9113
            'attributes' => {
9114
              'domain' => '',
9115
              'group' => 1,
9116
              'isClk' => 1,
9117
              'is_floating_block' => 1,
9118
              'period' => 1,
9119
              'type' => 'logic',
9120
            },
9121
            'direction' => 'in',
9122
            'hdlType' => 'std_logic',
9123
            'width' => 1,
9124
          },
9125
          'clr' => {
9126
            'attributes' => {
9127
              'domain' => '',
9128
              'group' => 1,
9129
              'isClr' => 1,
9130
              'is_floating_block' => 1,
9131
              'period' => 1,
9132
              'type' => 'logic',
9133
              'valid_bit_used' => 0,
9134
            },
9135
            'direction' => 'in',
9136
            'hdlType' => 'std_logic',
9137
            'width' => 1,
9138
          },
9139
          'data_in' => {
9140
            'attributes' => {
9141
              'bin_pt' => 0,
9142
              'is_floating_block' => 1,
9143
              'must_be_hdl_vector' => 1,
9144
              'period' => 1,
9145
              'port_id' => 0,
9146
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/data_in',
9147
              'type' => 'Bool',
9148
            },
9149
            'direction' => 'in',
9150
            'hdlType' => 'std_logic_vector(0 downto 0)',
9151
            'width' => 1,
9152
          },
9153
          'dout' => {
9154
            'attributes' => {
9155
              'bin_pt' => 0,
9156
              'is_floating_block' => 1,
9157
              'must_be_hdl_vector' => 1,
9158
              'period' => 1,
9159
              'port_id' => 0,
9160
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/dout',
9161
              'type' => 'Bool',
9162
            },
9163
            'direction' => 'out',
9164
            'hdlType' => 'std_logic_vector(0 downto 0)',
9165
            'width' => 1,
9166
          },
9167
          'en' => {
9168
            'attributes' => {
9169
              'bin_pt' => 0,
9170
              'is_floating_block' => 1,
9171
              'must_be_hdl_vector' => 1,
9172
              'period' => 1,
9173
              'port_id' => 1,
9174
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/en',
9175
              'type' => 'Bool',
9176
            },
9177
            'direction' => 'in',
9178
            'hdlType' => 'std_logic_vector(0 downto 0)',
9179
            'width' => 1,
9180
          },
9181
        },
9182
      },
9183
      'entityName' => 'x_x12',
9184
    },
9185
    'to_register22' => {
9186
      'connections' => {
9187
        'ce' => 'ce_1_sg',
9188
        'clk' => 'clk_1_sg',
9189
        'clr' => [
9190
          'constant',
9191
          '\'0\'',
9192
        ],
9193
        'data_in' => 'reg09_td_net_x0',
9194
        'dout' => 'to_register22_dout_net',
9195
        'en' => 'constant1_op_net_x1',
9196
      },
9197
      'entity' => {
9198
        'attributes' => {
9199
          'generics' => [
9200
          ],
9201
          'is_floating_block' => 1,
9202
          'mask' => {
9203
            'Block_Handle' => 52.0009765625,
9204
            'Block_handle' => 52.0009765625,
9205
            'MDL_Handle' => 3.0009765625,
9206
            'MDL_handle' => 3.0009765625,
9207
            'arith_type' => 1,
9208
            'bin_pt' => 14,
9209
            'block_config' => 'sysgen_blockset:toreg_config',
9210
            'block_handle' => 52.0009765625,
9211
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
9212
            'block_type' => 'toreg',
9213
            'dbl_ovrd' => 0,
9214
            'explicit_data_type' => 0,
9215
            'init' => 0,
9216
            'init_bit_vector' => '00000000000000000000000000000000b',
9217
            'mdl_handle' => 3.0009765625,
9218
            'model_handle' => 3.0009765625,
9219
            'n_bits' => 16,
9220
            'ownership' => 1,
9221
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9222
            'shared_memory_name' => 'register09td',
9223
          },
9224
          'needs_vhdl_wrapper' => 0,
9225
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
9226
        },
9227
        'entityName' => 'x_x13',
9228
        'ports' => {
9229
          'ce' => {
9230
            'attributes' => {
9231
              'domain' => '',
9232
              'group' => 1,
9233
              'isCe' => 1,
9234
              'is_floating_block' => 1,
9235
              'period' => 1,
9236
              'type' => 'logic',
9237
            },
9238
            'direction' => 'in',
9239
            'hdlType' => 'std_logic',
9240
            'width' => 1,
9241
          },
9242
          'clk' => {
9243
            'attributes' => {
9244
              'domain' => '',
9245
              'group' => 1,
9246
              'isClk' => 1,
9247
              'is_floating_block' => 1,
9248
              'period' => 1,
9249
              'type' => 'logic',
9250
            },
9251
            'direction' => 'in',
9252
            'hdlType' => 'std_logic',
9253
            'width' => 1,
9254
          },
9255
          'clr' => {
9256
            'attributes' => {
9257
              'domain' => '',
9258
              'group' => 1,
9259
              'isClr' => 1,
9260
              'is_floating_block' => 1,
9261
              'period' => 1,
9262
              'type' => 'logic',
9263
              'valid_bit_used' => 0,
9264
            },
9265
            'direction' => 'in',
9266
            'hdlType' => 'std_logic',
9267
            'width' => 1,
9268
          },
9269
          'data_in' => {
9270
            'attributes' => {
9271
              'bin_pt' => 0,
9272
              'is_floating_block' => 1,
9273
              'must_be_hdl_vector' => 1,
9274
              'period' => 1,
9275
              'port_id' => 0,
9276
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/data_in',
9277
              'type' => 'UFix_32_0',
9278
            },
9279
            'direction' => 'in',
9280
            'hdlType' => 'std_logic_vector(31 downto 0)',
9281
            'width' => 32,
9282
          },
9283
          'dout' => {
9284
            'attributes' => {
9285
              'bin_pt' => 0,
9286
              'is_floating_block' => 1,
9287
              'must_be_hdl_vector' => 1,
9288
              'period' => 1,
9289
              'port_id' => 0,
9290
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/dout',
9291
              'type' => 'UFix_32_0',
9292
            },
9293
            'direction' => 'out',
9294
            'hdlType' => 'std_logic_vector(31 downto 0)',
9295
            'width' => 32,
9296
          },
9297
          'en' => {
9298
            'attributes' => {
9299
              'bin_pt' => 0,
9300
              'is_floating_block' => 1,
9301
              'must_be_hdl_vector' => 1,
9302
              'period' => 1,
9303
              'port_id' => 1,
9304
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/en',
9305
              'type' => 'Bool',
9306
            },
9307
            'direction' => 'in',
9308
            'hdlType' => 'std_logic_vector(0 downto 0)',
9309
            'width' => 1,
9310
          },
9311
        },
9312
      },
9313
      'entityName' => 'x_x13',
9314
    },
9315
    'to_register23' => {
9316
      'connections' => {
9317
        'ce' => 'ce_1_sg',
9318
        'clk' => 'clk_1_sg',
9319
        'clr' => [
9320
          'constant',
9321
          '\'0\'',
9322
        ],
9323
        'data_in' => 'reg10_tv_net_x0',
9324
        'dout' => 'to_register23_dout_net',
9325
        'en' => 'constant1_op_net_x2',
9326
      },
9327
      'entity' => {
9328
        'attributes' => {
9329
          'generics' => [
9330
          ],
9331
          'is_floating_block' => 1,
9332
          'mask' => {
9333
            'Block_Handle' => 53.0009765625,
9334
            'Block_handle' => 53.0009765625,
9335
            'MDL_Handle' => 3.0009765625,
9336
            'MDL_handle' => 3.0009765625,
9337
            'arith_type' => 1,
9338
            'bin_pt' => 14,
9339
            'block_config' => 'sysgen_blockset:toreg_config',
9340
            'block_handle' => 53.0009765625,
9341
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9342
            'block_type' => 'toreg',
9343
            'dbl_ovrd' => 0,
9344
            'explicit_data_type' => 0,
9345
            'init' => 0,
9346
            'init_bit_vector' => '0b',
9347
            'mdl_handle' => 3.0009765625,
9348
            'model_handle' => 3.0009765625,
9349
            'n_bits' => 16,
9350
            'ownership' => 1,
9351
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9352
            'shared_memory_name' => 'register10tv',
9353
          },
9354
          'needs_vhdl_wrapper' => 0,
9355
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
9356
        },
9357
        'entityName' => 'x_x14',
9358
        'ports' => {
9359
          'ce' => {
9360
            'attributes' => {
9361
              'domain' => '',
9362
              'group' => 1,
9363
              'isCe' => 1,
9364
              'is_floating_block' => 1,
9365
              'period' => 1,
9366
              'type' => 'logic',
9367
            },
9368
            'direction' => 'in',
9369
            'hdlType' => 'std_logic',
9370
            'width' => 1,
9371
          },
9372
          'clk' => {
9373
            'attributes' => {
9374
              'domain' => '',
9375
              'group' => 1,
9376
              'isClk' => 1,
9377
              'is_floating_block' => 1,
9378
              'period' => 1,
9379
              'type' => 'logic',
9380
            },
9381
            'direction' => 'in',
9382
            'hdlType' => 'std_logic',
9383
            'width' => 1,
9384
          },
9385
          'clr' => {
9386
            'attributes' => {
9387
              'domain' => '',
9388
              'group' => 1,
9389
              'isClr' => 1,
9390
              'is_floating_block' => 1,
9391
              'period' => 1,
9392
              'type' => 'logic',
9393
              'valid_bit_used' => 0,
9394
            },
9395
            'direction' => 'in',
9396
            'hdlType' => 'std_logic',
9397
            'width' => 1,
9398
          },
9399
          'data_in' => {
9400
            'attributes' => {
9401
              'bin_pt' => 0,
9402
              'is_floating_block' => 1,
9403
              'must_be_hdl_vector' => 1,
9404
              'period' => 1,
9405
              'port_id' => 0,
9406
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/data_in',
9407
              'type' => 'Bool',
9408
            },
9409
            'direction' => 'in',
9410
            'hdlType' => 'std_logic_vector(0 downto 0)',
9411
            'width' => 1,
9412
          },
9413
          'dout' => {
9414
            'attributes' => {
9415
              'bin_pt' => 0,
9416
              'is_floating_block' => 1,
9417
              'must_be_hdl_vector' => 1,
9418
              'period' => 1,
9419
              'port_id' => 0,
9420
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/dout',
9421
              'type' => 'Bool',
9422
            },
9423
            'direction' => 'out',
9424
            'hdlType' => 'std_logic_vector(0 downto 0)',
9425
            'width' => 1,
9426
          },
9427
          'en' => {
9428
            'attributes' => {
9429
              'bin_pt' => 0,
9430
              'is_floating_block' => 1,
9431
              'must_be_hdl_vector' => 1,
9432
              'period' => 1,
9433
              'port_id' => 1,
9434
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/en',
9435
              'type' => 'Bool',
9436
            },
9437
            'direction' => 'in',
9438
            'hdlType' => 'std_logic_vector(0 downto 0)',
9439
            'width' => 1,
9440
          },
9441
        },
9442
      },
9443
      'entityName' => 'x_x14',
9444
    },
9445
    'to_register24' => {
9446
      'connections' => {
9447
        'ce' => 'ce_1_sg',
9448
        'clk' => 'clk_1_sg',
9449
        'clr' => [
9450
          'constant',
9451
          '\'0\'',
9452
        ],
9453
        'data_in' => 'reg10_td_net_x0',
9454
        'dout' => 'to_register24_dout_net',
9455
        'en' => 'constant1_op_net_x3',
9456
      },
9457
      'entity' => {
9458
        'attributes' => {
9459
          'generics' => [
9460
          ],
9461
          'is_floating_block' => 1,
9462
          'mask' => {
9463
            'Block_Handle' => 54.0009765625,
9464
            'Block_handle' => 54.0009765625,
9465
            'MDL_Handle' => 3.0009765625,
9466
            'MDL_handle' => 3.0009765625,
9467
            'arith_type' => 1,
9468
            'bin_pt' => 14,
9469
            'block_config' => 'sysgen_blockset:toreg_config',
9470
            'block_handle' => 54.0009765625,
9471
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9472
            'block_type' => 'toreg',
9473
            'dbl_ovrd' => 0,
9474
            'explicit_data_type' => 0,
9475
            'init' => 0,
9476
            'init_bit_vector' => '00000000000000000000000000000000b',
9477
            'mdl_handle' => 3.0009765625,
9478
            'model_handle' => 3.0009765625,
9479
            'n_bits' => 16,
9480
            'ownership' => 1,
9481
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9482
            'shared_memory_name' => 'register10td',
9483
          },
9484
          'needs_vhdl_wrapper' => 0,
9485
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
9486
        },
9487
        'entityName' => 'x_x15',
9488
        'ports' => {
9489
          'ce' => {
9490
            'attributes' => {
9491
              'domain' => '',
9492
              'group' => 1,
9493
              'isCe' => 1,
9494
              'is_floating_block' => 1,
9495
              'period' => 1,
9496
              'type' => 'logic',
9497
            },
9498
            'direction' => 'in',
9499
            'hdlType' => 'std_logic',
9500
            'width' => 1,
9501
          },
9502
          'clk' => {
9503
            'attributes' => {
9504
              'domain' => '',
9505
              'group' => 1,
9506
              'isClk' => 1,
9507
              'is_floating_block' => 1,
9508
              'period' => 1,
9509
              'type' => 'logic',
9510
            },
9511
            'direction' => 'in',
9512
            'hdlType' => 'std_logic',
9513
            'width' => 1,
9514
          },
9515
          'clr' => {
9516
            'attributes' => {
9517
              'domain' => '',
9518
              'group' => 1,
9519
              'isClr' => 1,
9520
              'is_floating_block' => 1,
9521
              'period' => 1,
9522
              'type' => 'logic',
9523
              'valid_bit_used' => 0,
9524
            },
9525
            'direction' => 'in',
9526
            'hdlType' => 'std_logic',
9527
            'width' => 1,
9528
          },
9529
          'data_in' => {
9530
            'attributes' => {
9531
              'bin_pt' => 0,
9532
              'is_floating_block' => 1,
9533
              'must_be_hdl_vector' => 1,
9534
              'period' => 1,
9535
              'port_id' => 0,
9536
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/data_in',
9537
              'type' => 'UFix_32_0',
9538
            },
9539
            'direction' => 'in',
9540
            'hdlType' => 'std_logic_vector(31 downto 0)',
9541
            'width' => 32,
9542
          },
9543
          'dout' => {
9544
            'attributes' => {
9545
              'bin_pt' => 0,
9546
              'is_floating_block' => 1,
9547
              'must_be_hdl_vector' => 1,
9548
              'period' => 1,
9549
              'port_id' => 0,
9550
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/dout',
9551
              'type' => 'UFix_32_0',
9552
            },
9553
            'direction' => 'out',
9554
            'hdlType' => 'std_logic_vector(31 downto 0)',
9555
            'width' => 32,
9556
          },
9557
          'en' => {
9558
            'attributes' => {
9559
              'bin_pt' => 0,
9560
              'is_floating_block' => 1,
9561
              'must_be_hdl_vector' => 1,
9562
              'period' => 1,
9563
              'port_id' => 1,
9564
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/en',
9565
              'type' => 'Bool',
9566
            },
9567
            'direction' => 'in',
9568
            'hdlType' => 'std_logic_vector(0 downto 0)',
9569
            'width' => 1,
9570
          },
9571
        },
9572
      },
9573
      'entityName' => 'x_x15',
9574
    },
9575
    'to_register25' => {
9576
      'connections' => {
9577
        'ce' => 'ce_1_sg',
9578
        'clk' => 'clk_1_sg',
9579
        'clr' => [
9580
          'constant',
9581
          '\'0\'',
9582
        ],
9583
        'data_in' => 'reg08_tv_net_x0',
9584
        'dout' => 'to_register25_dout_net',
9585
        'en' => 'constant1_op_net_x4',
9586
      },
9587
      'entity' => {
9588
        'attributes' => {
9589
          'generics' => [
9590
          ],
9591
          'is_floating_block' => 1,
9592
          'mask' => {
9593
            'Block_Handle' => 55.0009765625,
9594
            'Block_handle' => 55.0009765625,
9595
            'MDL_Handle' => 3.0009765625,
9596
            'MDL_handle' => 3.0009765625,
9597
            'arith_type' => 1,
9598
            'bin_pt' => 14,
9599
            'block_config' => 'sysgen_blockset:toreg_config',
9600
            'block_handle' => 55.0009765625,
9601
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9602
            'block_type' => 'toreg',
9603
            'dbl_ovrd' => 0,
9604
            'explicit_data_type' => 0,
9605
            'init' => 0,
9606
            'init_bit_vector' => '0b',
9607
            'mdl_handle' => 3.0009765625,
9608
            'model_handle' => 3.0009765625,
9609
            'n_bits' => 16,
9610
            'ownership' => 1,
9611
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9612
            'shared_memory_name' => 'register08tv',
9613
          },
9614
          'needs_vhdl_wrapper' => 0,
9615
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
9616
        },
9617
        'entityName' => 'x_x16',
9618
        'ports' => {
9619
          'ce' => {
9620
            'attributes' => {
9621
              'domain' => '',
9622
              'group' => 1,
9623
              'isCe' => 1,
9624
              'is_floating_block' => 1,
9625
              'period' => 1,
9626
              'type' => 'logic',
9627
            },
9628
            'direction' => 'in',
9629
            'hdlType' => 'std_logic',
9630
            'width' => 1,
9631
          },
9632
          'clk' => {
9633
            'attributes' => {
9634
              'domain' => '',
9635
              'group' => 1,
9636
              'isClk' => 1,
9637
              'is_floating_block' => 1,
9638
              'period' => 1,
9639
              'type' => 'logic',
9640
            },
9641
            'direction' => 'in',
9642
            'hdlType' => 'std_logic',
9643
            'width' => 1,
9644
          },
9645
          'clr' => {
9646
            'attributes' => {
9647
              'domain' => '',
9648
              'group' => 1,
9649
              'isClr' => 1,
9650
              'is_floating_block' => 1,
9651
              'period' => 1,
9652
              'type' => 'logic',
9653
              'valid_bit_used' => 0,
9654
            },
9655
            'direction' => 'in',
9656
            'hdlType' => 'std_logic',
9657
            'width' => 1,
9658
          },
9659
          'data_in' => {
9660
            'attributes' => {
9661
              'bin_pt' => 0,
9662
              'is_floating_block' => 1,
9663
              'must_be_hdl_vector' => 1,
9664
              'period' => 1,
9665
              'port_id' => 0,
9666
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/data_in',
9667
              'type' => 'Bool',
9668
            },
9669
            'direction' => 'in',
9670
            'hdlType' => 'std_logic_vector(0 downto 0)',
9671
            'width' => 1,
9672
          },
9673
          'dout' => {
9674
            'attributes' => {
9675
              'bin_pt' => 0,
9676
              'is_floating_block' => 1,
9677
              'must_be_hdl_vector' => 1,
9678
              'period' => 1,
9679
              'port_id' => 0,
9680
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/dout',
9681
              'type' => 'Bool',
9682
            },
9683
            'direction' => 'out',
9684
            'hdlType' => 'std_logic_vector(0 downto 0)',
9685
            'width' => 1,
9686
          },
9687
          'en' => {
9688
            'attributes' => {
9689
              'bin_pt' => 0,
9690
              'is_floating_block' => 1,
9691
              'must_be_hdl_vector' => 1,
9692
              'period' => 1,
9693
              'port_id' => 1,
9694
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/en',
9695
              'type' => 'Bool',
9696
            },
9697
            'direction' => 'in',
9698
            'hdlType' => 'std_logic_vector(0 downto 0)',
9699
            'width' => 1,
9700
          },
9701
        },
9702
      },
9703
      'entityName' => 'x_x16',
9704
    },
9705
    'to_register26' => {
9706
      'connections' => {
9707
        'ce' => 'ce_1_sg',
9708
        'clk' => 'clk_1_sg',
9709
        'clr' => [
9710
          'constant',
9711
          '\'0\'',
9712
        ],
9713
        'data_in' => 'reg08_td_net_x0',
9714
        'dout' => 'to_register26_dout_net',
9715
        'en' => 'constant1_op_net_x5',
9716
      },
9717
      'entity' => {
9718
        'attributes' => {
9719
          'generics' => [
9720
          ],
9721
          'is_floating_block' => 1,
9722
          'mask' => {
9723
            'Block_Handle' => 56.0009765625,
9724
            'Block_handle' => 56.0009765625,
9725
            'MDL_Handle' => 3.0009765625,
9726
            'MDL_handle' => 3.0009765625,
9727
            'arith_type' => 1,
9728
            'bin_pt' => 14,
9729
            'block_config' => 'sysgen_blockset:toreg_config',
9730
            'block_handle' => 56.0009765625,
9731
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9732
            'block_type' => 'toreg',
9733
            'dbl_ovrd' => 0,
9734
            'explicit_data_type' => 0,
9735
            'init' => 0,
9736
            'init_bit_vector' => '00000000000000000000000000000000b',
9737
            'mdl_handle' => 3.0009765625,
9738
            'model_handle' => 3.0009765625,
9739
            'n_bits' => 16,
9740
            'ownership' => 1,
9741
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9742
            'shared_memory_name' => 'register08td',
9743
          },
9744
          'needs_vhdl_wrapper' => 0,
9745
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
9746
        },
9747
        'entityName' => 'x_x17',
9748
        'ports' => {
9749
          'ce' => {
9750
            'attributes' => {
9751
              'domain' => '',
9752
              'group' => 1,
9753
              'isCe' => 1,
9754
              'is_floating_block' => 1,
9755
              'period' => 1,
9756
              'type' => 'logic',
9757
            },
9758
            'direction' => 'in',
9759
            'hdlType' => 'std_logic',
9760
            'width' => 1,
9761
          },
9762
          'clk' => {
9763
            'attributes' => {
9764
              'domain' => '',
9765
              'group' => 1,
9766
              'isClk' => 1,
9767
              'is_floating_block' => 1,
9768
              'period' => 1,
9769
              'type' => 'logic',
9770
            },
9771
            'direction' => 'in',
9772
            'hdlType' => 'std_logic',
9773
            'width' => 1,
9774
          },
9775
          'clr' => {
9776
            'attributes' => {
9777
              'domain' => '',
9778
              'group' => 1,
9779
              'isClr' => 1,
9780
              'is_floating_block' => 1,
9781
              'period' => 1,
9782
              'type' => 'logic',
9783
              'valid_bit_used' => 0,
9784
            },
9785
            'direction' => 'in',
9786
            'hdlType' => 'std_logic',
9787
            'width' => 1,
9788
          },
9789
          'data_in' => {
9790
            'attributes' => {
9791
              'bin_pt' => 0,
9792
              'is_floating_block' => 1,
9793
              'must_be_hdl_vector' => 1,
9794
              'period' => 1,
9795
              'port_id' => 0,
9796
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/data_in',
9797
              'type' => 'UFix_32_0',
9798
            },
9799
            'direction' => 'in',
9800
            'hdlType' => 'std_logic_vector(31 downto 0)',
9801
            'width' => 32,
9802
          },
9803
          'dout' => {
9804
            'attributes' => {
9805
              'bin_pt' => 0,
9806
              'is_floating_block' => 1,
9807
              'must_be_hdl_vector' => 1,
9808
              'period' => 1,
9809
              'port_id' => 0,
9810
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/dout',
9811
              'type' => 'UFix_32_0',
9812
            },
9813
            'direction' => 'out',
9814
            'hdlType' => 'std_logic_vector(31 downto 0)',
9815
            'width' => 32,
9816
          },
9817
          'en' => {
9818
            'attributes' => {
9819
              'bin_pt' => 0,
9820
              'is_floating_block' => 1,
9821
              'must_be_hdl_vector' => 1,
9822
              'period' => 1,
9823
              'port_id' => 1,
9824
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/en',
9825
              'type' => 'Bool',
9826
            },
9827
            'direction' => 'in',
9828
            'hdlType' => 'std_logic_vector(0 downto 0)',
9829
            'width' => 1,
9830
          },
9831
        },
9832
      },
9833
      'entityName' => 'x_x17',
9834
    },
9835
    'to_register27' => {
9836
      'connections' => {
9837
        'ce' => 'ce_1_sg',
9838
        'clk' => 'clk_1_sg',
9839
        'clr' => [
9840
          'constant',
9841
          '\'0\'',
9842
        ],
9843
        'data_in' => 'reg11_tv_net_x0',
9844
        'dout' => 'to_register27_dout_net',
9845
        'en' => 'constant1_op_net_x6',
9846
      },
9847
      'entity' => {
9848
        'attributes' => {
9849
          'generics' => [
9850
          ],
9851
          'is_floating_block' => 1,
9852
          'mask' => {
9853
            'Block_Handle' => 57.0009765625,
9854
            'Block_handle' => 57.0009765625,
9855
            'MDL_Handle' => 3.0009765625,
9856
            'MDL_handle' => 3.0009765625,
9857
            'arith_type' => 1,
9858
            'bin_pt' => 14,
9859
            'block_config' => 'sysgen_blockset:toreg_config',
9860
            'block_handle' => 57.0009765625,
9861
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9862
            'block_type' => 'toreg',
9863
            'dbl_ovrd' => 0,
9864
            'explicit_data_type' => 0,
9865
            'init' => 0,
9866
            'init_bit_vector' => '0b',
9867
            'mdl_handle' => 3.0009765625,
9868
            'model_handle' => 3.0009765625,
9869
            'n_bits' => 16,
9870
            'ownership' => 1,
9871
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
9872
            'shared_memory_name' => 'register11tv',
9873
          },
9874
          'needs_vhdl_wrapper' => 0,
9875
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
9876
        },
9877
        'entityName' => 'x_x18',
9878
        'ports' => {
9879
          'ce' => {
9880
            'attributes' => {
9881
              'domain' => '',
9882
              'group' => 1,
9883
              'isCe' => 1,
9884
              'is_floating_block' => 1,
9885
              'period' => 1,
9886
              'type' => 'logic',
9887
            },
9888
            'direction' => 'in',
9889
            'hdlType' => 'std_logic',
9890
            'width' => 1,
9891
          },
9892
          'clk' => {
9893
            'attributes' => {
9894
              'domain' => '',
9895
              'group' => 1,
9896
              'isClk' => 1,
9897
              'is_floating_block' => 1,
9898
              'period' => 1,
9899
              'type' => 'logic',
9900
            },
9901
            'direction' => 'in',
9902
            'hdlType' => 'std_logic',
9903
            'width' => 1,
9904
          },
9905
          'clr' => {
9906
            'attributes' => {
9907
              'domain' => '',
9908
              'group' => 1,
9909
              'isClr' => 1,
9910
              'is_floating_block' => 1,
9911
              'period' => 1,
9912
              'type' => 'logic',
9913
              'valid_bit_used' => 0,
9914
            },
9915
            'direction' => 'in',
9916
            'hdlType' => 'std_logic',
9917
            'width' => 1,
9918
          },
9919
          'data_in' => {
9920
            'attributes' => {
9921
              'bin_pt' => 0,
9922
              'is_floating_block' => 1,
9923
              'must_be_hdl_vector' => 1,
9924
              'period' => 1,
9925
              'port_id' => 0,
9926
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/data_in',
9927
              'type' => 'Bool',
9928
            },
9929
            'direction' => 'in',
9930
            'hdlType' => 'std_logic_vector(0 downto 0)',
9931
            'width' => 1,
9932
          },
9933
          'dout' => {
9934
            'attributes' => {
9935
              'bin_pt' => 0,
9936
              'is_floating_block' => 1,
9937
              'must_be_hdl_vector' => 1,
9938
              'period' => 1,
9939
              'port_id' => 0,
9940
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/dout',
9941
              'type' => 'Bool',
9942
            },
9943
            'direction' => 'out',
9944
            'hdlType' => 'std_logic_vector(0 downto 0)',
9945
            'width' => 1,
9946
          },
9947
          'en' => {
9948
            'attributes' => {
9949
              'bin_pt' => 0,
9950
              'is_floating_block' => 1,
9951
              'must_be_hdl_vector' => 1,
9952
              'period' => 1,
9953
              'port_id' => 1,
9954
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/en',
9955
              'type' => 'Bool',
9956
            },
9957
            'direction' => 'in',
9958
            'hdlType' => 'std_logic_vector(0 downto 0)',
9959
            'width' => 1,
9960
          },
9961
        },
9962
      },
9963
      'entityName' => 'x_x18',
9964
    },
9965
    'to_register28' => {
9966
      'connections' => {
9967
        'ce' => 'ce_1_sg',
9968
        'clk' => 'clk_1_sg',
9969
        'clr' => [
9970
          'constant',
9971
          '\'0\'',
9972
        ],
9973
        'data_in' => 'reg11_td_net_x0',
9974
        'dout' => 'to_register28_dout_net',
9975
        'en' => 'constant1_op_net_x7',
9976
      },
9977
      'entity' => {
9978
        'attributes' => {
9979
          'generics' => [
9980
          ],
9981
          'is_floating_block' => 1,
9982
          'mask' => {
9983
            'Block_Handle' => 58.0009765625,
9984
            'Block_handle' => 58.0009765625,
9985
            'MDL_Handle' => 3.0009765625,
9986
            'MDL_handle' => 3.0009765625,
9987
            'arith_type' => 1,
9988
            'bin_pt' => 14,
9989
            'block_config' => 'sysgen_blockset:toreg_config',
9990
            'block_handle' => 58.0009765625,
9991
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
9992
            'block_type' => 'toreg',
9993
            'dbl_ovrd' => 0,
9994
            'explicit_data_type' => 0,
9995
            'init' => 0,
9996
            'init_bit_vector' => '00000000000000000000000000000000b',
9997
            'mdl_handle' => 3.0009765625,
9998
            'model_handle' => 3.0009765625,
9999
            'n_bits' => 16,
10000
            'ownership' => 1,
10001
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10002
            'shared_memory_name' => 'register11td',
10003
          },
10004
          'needs_vhdl_wrapper' => 0,
10005
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
10006
        },
10007
        'entityName' => 'x_x19',
10008
        'ports' => {
10009
          'ce' => {
10010
            'attributes' => {
10011
              'domain' => '',
10012
              'group' => 1,
10013
              'isCe' => 1,
10014
              'is_floating_block' => 1,
10015
              'period' => 1,
10016
              'type' => 'logic',
10017
            },
10018
            'direction' => 'in',
10019
            'hdlType' => 'std_logic',
10020
            'width' => 1,
10021
          },
10022
          'clk' => {
10023
            'attributes' => {
10024
              'domain' => '',
10025
              'group' => 1,
10026
              'isClk' => 1,
10027
              'is_floating_block' => 1,
10028
              'period' => 1,
10029
              'type' => 'logic',
10030
            },
10031
            'direction' => 'in',
10032
            'hdlType' => 'std_logic',
10033
            'width' => 1,
10034
          },
10035
          'clr' => {
10036
            'attributes' => {
10037
              'domain' => '',
10038
              'group' => 1,
10039
              'isClr' => 1,
10040
              'is_floating_block' => 1,
10041
              'period' => 1,
10042
              'type' => 'logic',
10043
              'valid_bit_used' => 0,
10044
            },
10045
            'direction' => 'in',
10046
            'hdlType' => 'std_logic',
10047
            'width' => 1,
10048
          },
10049
          'data_in' => {
10050
            'attributes' => {
10051
              'bin_pt' => 0,
10052
              'is_floating_block' => 1,
10053
              'must_be_hdl_vector' => 1,
10054
              'period' => 1,
10055
              'port_id' => 0,
10056
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/data_in',
10057
              'type' => 'UFix_32_0',
10058
            },
10059
            'direction' => 'in',
10060
            'hdlType' => 'std_logic_vector(31 downto 0)',
10061
            'width' => 32,
10062
          },
10063
          'dout' => {
10064
            'attributes' => {
10065
              'bin_pt' => 0,
10066
              'is_floating_block' => 1,
10067
              'must_be_hdl_vector' => 1,
10068
              'period' => 1,
10069
              'port_id' => 0,
10070
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/dout',
10071
              'type' => 'UFix_32_0',
10072
            },
10073
            'direction' => 'out',
10074
            'hdlType' => 'std_logic_vector(31 downto 0)',
10075
            'width' => 32,
10076
          },
10077
          'en' => {
10078
            'attributes' => {
10079
              'bin_pt' => 0,
10080
              'is_floating_block' => 1,
10081
              'must_be_hdl_vector' => 1,
10082
              'period' => 1,
10083
              'port_id' => 1,
10084
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/en',
10085
              'type' => 'Bool',
10086
            },
10087
            'direction' => 'in',
10088
            'hdlType' => 'std_logic_vector(0 downto 0)',
10089
            'width' => 1,
10090
          },
10091
        },
10092
      },
10093
      'entityName' => 'x_x19',
10094
    },
10095
    'to_register29' => {
10096
      'connections' => {
10097
        'ce' => 'ce_1_sg',
10098
        'clk' => 'clk_1_sg',
10099
        'clr' => [
10100
          'constant',
10101
          '\'0\'',
10102
        ],
10103
        'data_in' => 'reg12_tv_net_x0',
10104
        'dout' => 'to_register29_dout_net',
10105
        'en' => 'constant1_op_net_x8',
10106
      },
10107
      'entity' => {
10108
        'attributes' => {
10109
          'generics' => [
10110
          ],
10111
          'is_floating_block' => 1,
10112
          'mask' => {
10113
            'Block_Handle' => 59.0009765625,
10114
            'Block_handle' => 59.0009765625,
10115
            'MDL_Handle' => 3.0009765625,
10116
            'MDL_handle' => 3.0009765625,
10117
            'arith_type' => 1,
10118
            'bin_pt' => 14,
10119
            'block_config' => 'sysgen_blockset:toreg_config',
10120
            'block_handle' => 59.0009765625,
10121
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
10122
            'block_type' => 'toreg',
10123
            'dbl_ovrd' => 0,
10124
            'explicit_data_type' => 0,
10125
            'init' => 0,
10126
            'init_bit_vector' => '0b',
10127
            'mdl_handle' => 3.0009765625,
10128
            'model_handle' => 3.0009765625,
10129
            'n_bits' => 16,
10130
            'ownership' => 1,
10131
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10132
            'shared_memory_name' => 'register12tv',
10133
          },
10134
          'needs_vhdl_wrapper' => 0,
10135
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
10136
        },
10137
        'entityName' => 'x_x20',
10138
        'ports' => {
10139
          'ce' => {
10140
            'attributes' => {
10141
              'domain' => '',
10142
              'group' => 1,
10143
              'isCe' => 1,
10144
              'is_floating_block' => 1,
10145
              'period' => 1,
10146
              'type' => 'logic',
10147
            },
10148
            'direction' => 'in',
10149
            'hdlType' => 'std_logic',
10150
            'width' => 1,
10151
          },
10152
          'clk' => {
10153
            'attributes' => {
10154
              'domain' => '',
10155
              'group' => 1,
10156
              'isClk' => 1,
10157
              'is_floating_block' => 1,
10158
              'period' => 1,
10159
              'type' => 'logic',
10160
            },
10161
            'direction' => 'in',
10162
            'hdlType' => 'std_logic',
10163
            'width' => 1,
10164
          },
10165
          'clr' => {
10166
            'attributes' => {
10167
              'domain' => '',
10168
              'group' => 1,
10169
              'isClr' => 1,
10170
              'is_floating_block' => 1,
10171
              'period' => 1,
10172
              'type' => 'logic',
10173
              'valid_bit_used' => 0,
10174
            },
10175
            'direction' => 'in',
10176
            'hdlType' => 'std_logic',
10177
            'width' => 1,
10178
          },
10179
          'data_in' => {
10180
            'attributes' => {
10181
              'bin_pt' => 0,
10182
              'is_floating_block' => 1,
10183
              'must_be_hdl_vector' => 1,
10184
              'period' => 1,
10185
              'port_id' => 0,
10186
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/data_in',
10187
              'type' => 'Bool',
10188
            },
10189
            'direction' => 'in',
10190
            'hdlType' => 'std_logic_vector(0 downto 0)',
10191
            'width' => 1,
10192
          },
10193
          'dout' => {
10194
            'attributes' => {
10195
              'bin_pt' => 0,
10196
              'is_floating_block' => 1,
10197
              'must_be_hdl_vector' => 1,
10198
              'period' => 1,
10199
              'port_id' => 0,
10200
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/dout',
10201
              'type' => 'Bool',
10202
            },
10203
            'direction' => 'out',
10204
            'hdlType' => 'std_logic_vector(0 downto 0)',
10205
            'width' => 1,
10206
          },
10207
          'en' => {
10208
            'attributes' => {
10209
              'bin_pt' => 0,
10210
              'is_floating_block' => 1,
10211
              'must_be_hdl_vector' => 1,
10212
              'period' => 1,
10213
              'port_id' => 1,
10214
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/en',
10215
              'type' => 'Bool',
10216
            },
10217
            'direction' => 'in',
10218
            'hdlType' => 'std_logic_vector(0 downto 0)',
10219
            'width' => 1,
10220
          },
10221
        },
10222
      },
10223
      'entityName' => 'x_x20',
10224
    },
10225
    'to_register3' => {
10226
      'connections' => {
10227
        'ce' => 'ce_1_sg',
10228
        'clk' => 'clk_1_sg',
10229
        'clr' => [
10230
          'constant',
10231
          '\'0\'',
10232
        ],
10233
        'data_in' => 'reg01_tv_net_x0',
10234
        'dout' => 'to_register3_dout_net',
10235
        'en' => 'constant5_op_net_x13',
10236
      },
10237
      'entity' => {
10238
        'attributes' => {
10239
          'generics' => [
10240
          ],
10241
          'is_floating_block' => 1,
10242
          'mask' => {
10243
            'Block_Handle' => 60.0009765625,
10244
            'Block_handle' => 60.0009765625,
10245
            'MDL_Handle' => 3.0009765625,
10246
            'MDL_handle' => 3.0009765625,
10247
            'arith_type' => 1,
10248
            'bin_pt' => 14,
10249
            'block_config' => 'sysgen_blockset:toreg_config',
10250
            'block_handle' => 60.0009765625,
10251
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10252
            'block_type' => 'toreg',
10253
            'dbl_ovrd' => 0,
10254
            'explicit_data_type' => 0,
10255
            'init' => 0,
10256
            'init_bit_vector' => '0b',
10257
            'mdl_handle' => 3.0009765625,
10258
            'model_handle' => 3.0009765625,
10259
            'n_bits' => 16,
10260
            'ownership' => 1,
10261
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10262
            'shared_memory_name' => 'register01tv',
10263
          },
10264
          'needs_vhdl_wrapper' => 0,
10265
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
10266
        },
10267
        'entityName' => 'x_x21',
10268
        'ports' => {
10269
          'ce' => {
10270
            'attributes' => {
10271
              'domain' => '',
10272
              'group' => 1,
10273
              'isCe' => 1,
10274
              'is_floating_block' => 1,
10275
              'period' => 1,
10276
              'type' => 'logic',
10277
            },
10278
            'direction' => 'in',
10279
            'hdlType' => 'std_logic',
10280
            'width' => 1,
10281
          },
10282
          'clk' => {
10283
            'attributes' => {
10284
              'domain' => '',
10285
              'group' => 1,
10286
              'isClk' => 1,
10287
              'is_floating_block' => 1,
10288
              'period' => 1,
10289
              'type' => 'logic',
10290
            },
10291
            'direction' => 'in',
10292
            'hdlType' => 'std_logic',
10293
            'width' => 1,
10294
          },
10295
          'clr' => {
10296
            'attributes' => {
10297
              'domain' => '',
10298
              'group' => 1,
10299
              'isClr' => 1,
10300
              'is_floating_block' => 1,
10301
              'period' => 1,
10302
              'type' => 'logic',
10303
              'valid_bit_used' => 0,
10304
            },
10305
            'direction' => 'in',
10306
            'hdlType' => 'std_logic',
10307
            'width' => 1,
10308
          },
10309
          'data_in' => {
10310
            'attributes' => {
10311
              'bin_pt' => 0,
10312
              'is_floating_block' => 1,
10313
              'must_be_hdl_vector' => 1,
10314
              'period' => 1,
10315
              'port_id' => 0,
10316
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/data_in',
10317
              'type' => 'Bool',
10318
            },
10319
            'direction' => 'in',
10320
            'hdlType' => 'std_logic_vector(0 downto 0)',
10321
            'width' => 1,
10322
          },
10323
          'dout' => {
10324
            'attributes' => {
10325
              'bin_pt' => 0,
10326
              'is_floating_block' => 1,
10327
              'must_be_hdl_vector' => 1,
10328
              'period' => 1,
10329
              'port_id' => 0,
10330
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/dout',
10331
              'type' => 'Bool',
10332
            },
10333
            'direction' => 'out',
10334
            'hdlType' => 'std_logic_vector(0 downto 0)',
10335
            'width' => 1,
10336
          },
10337
          'en' => {
10338
            'attributes' => {
10339
              'bin_pt' => 0,
10340
              'is_floating_block' => 1,
10341
              'must_be_hdl_vector' => 1,
10342
              'period' => 1,
10343
              'port_id' => 1,
10344
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/en',
10345
              'type' => 'Bool',
10346
            },
10347
            'direction' => 'in',
10348
            'hdlType' => 'std_logic_vector(0 downto 0)',
10349
            'width' => 1,
10350
          },
10351
        },
10352
      },
10353
      'entityName' => 'x_x21',
10354
    },
10355
    'to_register30' => {
10356
      'connections' => {
10357
        'ce' => 'ce_1_sg',
10358
        'clk' => 'clk_1_sg',
10359
        'clr' => [
10360
          'constant',
10361
          '\'0\'',
10362
        ],
10363
        'data_in' => 'reg12_td_net_x0',
10364
        'dout' => 'to_register30_dout_net',
10365
        'en' => 'constant1_op_net_x9',
10366
      },
10367
      'entity' => {
10368
        'attributes' => {
10369
          'generics' => [
10370
          ],
10371
          'is_floating_block' => 1,
10372
          'mask' => {
10373
            'Block_Handle' => 61.0009765625,
10374
            'Block_handle' => 61.0009765625,
10375
            'MDL_Handle' => 3.0009765625,
10376
            'MDL_handle' => 3.0009765625,
10377
            'arith_type' => 1,
10378
            'bin_pt' => 14,
10379
            'block_config' => 'sysgen_blockset:toreg_config',
10380
            'block_handle' => 61.0009765625,
10381
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10382
            'block_type' => 'toreg',
10383
            'dbl_ovrd' => 0,
10384
            'explicit_data_type' => 0,
10385
            'init' => 0,
10386
            'init_bit_vector' => '00000000000000000000000000000000b',
10387
            'mdl_handle' => 3.0009765625,
10388
            'model_handle' => 3.0009765625,
10389
            'n_bits' => 16,
10390
            'ownership' => 1,
10391
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10392
            'shared_memory_name' => 'register12td',
10393
          },
10394
          'needs_vhdl_wrapper' => 0,
10395
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
10396
        },
10397
        'entityName' => 'x_x22',
10398
        'ports' => {
10399
          'ce' => {
10400
            'attributes' => {
10401
              'domain' => '',
10402
              'group' => 1,
10403
              'isCe' => 1,
10404
              'is_floating_block' => 1,
10405
              'period' => 1,
10406
              'type' => 'logic',
10407
            },
10408
            'direction' => 'in',
10409
            'hdlType' => 'std_logic',
10410
            'width' => 1,
10411
          },
10412
          'clk' => {
10413
            'attributes' => {
10414
              'domain' => '',
10415
              'group' => 1,
10416
              'isClk' => 1,
10417
              'is_floating_block' => 1,
10418
              'period' => 1,
10419
              'type' => 'logic',
10420
            },
10421
            'direction' => 'in',
10422
            'hdlType' => 'std_logic',
10423
            'width' => 1,
10424
          },
10425
          'clr' => {
10426
            'attributes' => {
10427
              'domain' => '',
10428
              'group' => 1,
10429
              'isClr' => 1,
10430
              'is_floating_block' => 1,
10431
              'period' => 1,
10432
              'type' => 'logic',
10433
              'valid_bit_used' => 0,
10434
            },
10435
            'direction' => 'in',
10436
            'hdlType' => 'std_logic',
10437
            'width' => 1,
10438
          },
10439
          'data_in' => {
10440
            'attributes' => {
10441
              'bin_pt' => 0,
10442
              'is_floating_block' => 1,
10443
              'must_be_hdl_vector' => 1,
10444
              'period' => 1,
10445
              'port_id' => 0,
10446
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/data_in',
10447
              'type' => 'UFix_32_0',
10448
            },
10449
            'direction' => 'in',
10450
            'hdlType' => 'std_logic_vector(31 downto 0)',
10451
            'width' => 32,
10452
          },
10453
          'dout' => {
10454
            'attributes' => {
10455
              'bin_pt' => 0,
10456
              'is_floating_block' => 1,
10457
              'must_be_hdl_vector' => 1,
10458
              'period' => 1,
10459
              'port_id' => 0,
10460
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/dout',
10461
              'type' => 'UFix_32_0',
10462
            },
10463
            'direction' => 'out',
10464
            'hdlType' => 'std_logic_vector(31 downto 0)',
10465
            'width' => 32,
10466
          },
10467
          'en' => {
10468
            'attributes' => {
10469
              'bin_pt' => 0,
10470
              'is_floating_block' => 1,
10471
              'must_be_hdl_vector' => 1,
10472
              'period' => 1,
10473
              'port_id' => 1,
10474
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/en',
10475
              'type' => 'Bool',
10476
            },
10477
            'direction' => 'in',
10478
            'hdlType' => 'std_logic_vector(0 downto 0)',
10479
            'width' => 1,
10480
          },
10481
        },
10482
      },
10483
      'entityName' => 'x_x22',
10484
    },
10485
    'to_register31' => {
10486
      'connections' => {
10487
        'ce' => 'ce_1_sg',
10488
        'clk' => 'clk_1_sg',
10489
        'clr' => [
10490
          'constant',
10491
          '\'0\'',
10492
        ],
10493
        'data_in' => 'reg13_tv_net_x0',
10494
        'dout' => 'to_register31_dout_net',
10495
        'en' => 'constant1_op_net_x10',
10496
      },
10497
      'entity' => {
10498
        'attributes' => {
10499
          'generics' => [
10500
          ],
10501
          'is_floating_block' => 1,
10502
          'mask' => {
10503
            'Block_Handle' => 62.0009765625,
10504
            'Block_handle' => 62.0009765625,
10505
            'MDL_Handle' => 3.0009765625,
10506
            'MDL_handle' => 3.0009765625,
10507
            'arith_type' => 1,
10508
            'bin_pt' => 14,
10509
            'block_config' => 'sysgen_blockset:toreg_config',
10510
            'block_handle' => 62.0009765625,
10511
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10512
            'block_type' => 'toreg',
10513
            'dbl_ovrd' => 0,
10514
            'explicit_data_type' => 0,
10515
            'init' => 0,
10516
            'init_bit_vector' => '0b',
10517
            'mdl_handle' => 3.0009765625,
10518
            'model_handle' => 3.0009765625,
10519
            'n_bits' => 16,
10520
            'ownership' => 1,
10521
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10522
            'shared_memory_name' => 'register13tv',
10523
          },
10524
          'needs_vhdl_wrapper' => 0,
10525
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
10526
        },
10527
        'entityName' => 'x_x23',
10528
        'ports' => {
10529
          'ce' => {
10530
            'attributes' => {
10531
              'domain' => '',
10532
              'group' => 1,
10533
              'isCe' => 1,
10534
              'is_floating_block' => 1,
10535
              'period' => 1,
10536
              'type' => 'logic',
10537
            },
10538
            'direction' => 'in',
10539
            'hdlType' => 'std_logic',
10540
            'width' => 1,
10541
          },
10542
          'clk' => {
10543
            'attributes' => {
10544
              'domain' => '',
10545
              'group' => 1,
10546
              'isClk' => 1,
10547
              'is_floating_block' => 1,
10548
              'period' => 1,
10549
              'type' => 'logic',
10550
            },
10551
            'direction' => 'in',
10552
            'hdlType' => 'std_logic',
10553
            'width' => 1,
10554
          },
10555
          'clr' => {
10556
            'attributes' => {
10557
              'domain' => '',
10558
              'group' => 1,
10559
              'isClr' => 1,
10560
              'is_floating_block' => 1,
10561
              'period' => 1,
10562
              'type' => 'logic',
10563
              'valid_bit_used' => 0,
10564
            },
10565
            'direction' => 'in',
10566
            'hdlType' => 'std_logic',
10567
            'width' => 1,
10568
          },
10569
          'data_in' => {
10570
            'attributes' => {
10571
              'bin_pt' => 0,
10572
              'is_floating_block' => 1,
10573
              'must_be_hdl_vector' => 1,
10574
              'period' => 1,
10575
              'port_id' => 0,
10576
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/data_in',
10577
              'type' => 'Bool',
10578
            },
10579
            'direction' => 'in',
10580
            'hdlType' => 'std_logic_vector(0 downto 0)',
10581
            'width' => 1,
10582
          },
10583
          'dout' => {
10584
            'attributes' => {
10585
              'bin_pt' => 0,
10586
              'is_floating_block' => 1,
10587
              'must_be_hdl_vector' => 1,
10588
              'period' => 1,
10589
              'port_id' => 0,
10590
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/dout',
10591
              'type' => 'Bool',
10592
            },
10593
            'direction' => 'out',
10594
            'hdlType' => 'std_logic_vector(0 downto 0)',
10595
            'width' => 1,
10596
          },
10597
          'en' => {
10598
            'attributes' => {
10599
              'bin_pt' => 0,
10600
              'is_floating_block' => 1,
10601
              'must_be_hdl_vector' => 1,
10602
              'period' => 1,
10603
              'port_id' => 1,
10604
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/en',
10605
              'type' => 'Bool',
10606
            },
10607
            'direction' => 'in',
10608
            'hdlType' => 'std_logic_vector(0 downto 0)',
10609
            'width' => 1,
10610
          },
10611
        },
10612
      },
10613
      'entityName' => 'x_x23',
10614
    },
10615
    'to_register32' => {
10616
      'connections' => {
10617
        'ce' => 'ce_1_sg',
10618
        'clk' => 'clk_1_sg',
10619
        'clr' => [
10620
          'constant',
10621
          '\'0\'',
10622
        ],
10623
        'data_in' => 'reg13_td_net_x0',
10624
        'dout' => 'to_register32_dout_net',
10625
        'en' => 'constant1_op_net_x11',
10626
      },
10627
      'entity' => {
10628
        'attributes' => {
10629
          'generics' => [
10630
          ],
10631
          'is_floating_block' => 1,
10632
          'mask' => {
10633
            'Block_Handle' => 63.0009765625,
10634
            'Block_handle' => 63.0009765625,
10635
            'MDL_Handle' => 3.0009765625,
10636
            'MDL_handle' => 3.0009765625,
10637
            'arith_type' => 1,
10638
            'bin_pt' => 14,
10639
            'block_config' => 'sysgen_blockset:toreg_config',
10640
            'block_handle' => 63.0009765625,
10641
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10642
            'block_type' => 'toreg',
10643
            'dbl_ovrd' => 0,
10644
            'explicit_data_type' => 0,
10645
            'init' => 0,
10646
            'init_bit_vector' => '00000000000000000000000000000000b',
10647
            'mdl_handle' => 3.0009765625,
10648
            'model_handle' => 3.0009765625,
10649
            'n_bits' => 16,
10650
            'ownership' => 1,
10651
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10652
            'shared_memory_name' => 'register13td',
10653
          },
10654
          'needs_vhdl_wrapper' => 0,
10655
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
10656
        },
10657
        'entityName' => 'x_x24',
10658
        'ports' => {
10659
          'ce' => {
10660
            'attributes' => {
10661
              'domain' => '',
10662
              'group' => 1,
10663
              'isCe' => 1,
10664
              'is_floating_block' => 1,
10665
              'period' => 1,
10666
              'type' => 'logic',
10667
            },
10668
            'direction' => 'in',
10669
            'hdlType' => 'std_logic',
10670
            'width' => 1,
10671
          },
10672
          'clk' => {
10673
            'attributes' => {
10674
              'domain' => '',
10675
              'group' => 1,
10676
              'isClk' => 1,
10677
              'is_floating_block' => 1,
10678
              'period' => 1,
10679
              'type' => 'logic',
10680
            },
10681
            'direction' => 'in',
10682
            'hdlType' => 'std_logic',
10683
            'width' => 1,
10684
          },
10685
          'clr' => {
10686
            'attributes' => {
10687
              'domain' => '',
10688
              'group' => 1,
10689
              'isClr' => 1,
10690
              'is_floating_block' => 1,
10691
              'period' => 1,
10692
              'type' => 'logic',
10693
              'valid_bit_used' => 0,
10694
            },
10695
            'direction' => 'in',
10696
            'hdlType' => 'std_logic',
10697
            'width' => 1,
10698
          },
10699
          'data_in' => {
10700
            'attributes' => {
10701
              'bin_pt' => 0,
10702
              'is_floating_block' => 1,
10703
              'must_be_hdl_vector' => 1,
10704
              'period' => 1,
10705
              'port_id' => 0,
10706
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/data_in',
10707
              'type' => 'UFix_32_0',
10708
            },
10709
            'direction' => 'in',
10710
            'hdlType' => 'std_logic_vector(31 downto 0)',
10711
            'width' => 32,
10712
          },
10713
          'dout' => {
10714
            'attributes' => {
10715
              'bin_pt' => 0,
10716
              'is_floating_block' => 1,
10717
              'must_be_hdl_vector' => 1,
10718
              'period' => 1,
10719
              'port_id' => 0,
10720
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/dout',
10721
              'type' => 'UFix_32_0',
10722
            },
10723
            'direction' => 'out',
10724
            'hdlType' => 'std_logic_vector(31 downto 0)',
10725
            'width' => 32,
10726
          },
10727
          'en' => {
10728
            'attributes' => {
10729
              'bin_pt' => 0,
10730
              'is_floating_block' => 1,
10731
              'must_be_hdl_vector' => 1,
10732
              'period' => 1,
10733
              'port_id' => 1,
10734
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/en',
10735
              'type' => 'Bool',
10736
            },
10737
            'direction' => 'in',
10738
            'hdlType' => 'std_logic_vector(0 downto 0)',
10739
            'width' => 1,
10740
          },
10741
        },
10742
      },
10743
      'entityName' => 'x_x24',
10744
    },
10745
    'to_register33' => {
10746
      'connections' => {
10747
        'ce' => 'ce_1_sg',
10748
        'clk' => 'clk_1_sg',
10749
        'clr' => [
10750
          'constant',
10751
          '\'0\'',
10752
        ],
10753
        'data_in' => 'reg14_tv_net_x0',
10754
        'dout' => 'to_register33_dout_net',
10755
        'en' => 'constant1_op_net_x12',
10756
      },
10757
      'entity' => {
10758
        'attributes' => {
10759
          'generics' => [
10760
          ],
10761
          'is_floating_block' => 1,
10762
          'mask' => {
10763
            'Block_Handle' => 64.0009765625,
10764
            'Block_handle' => 64.0009765625,
10765
            'MDL_Handle' => 3.0009765625,
10766
            'MDL_handle' => 3.0009765625,
10767
            'arith_type' => 1,
10768
            'bin_pt' => 14,
10769
            'block_config' => 'sysgen_blockset:toreg_config',
10770
            'block_handle' => 64.0009765625,
10771
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10772
            'block_type' => 'toreg',
10773
            'dbl_ovrd' => 0,
10774
            'explicit_data_type' => 0,
10775
            'init' => 0,
10776
            'init_bit_vector' => '0b',
10777
            'mdl_handle' => 3.0009765625,
10778
            'model_handle' => 3.0009765625,
10779
            'n_bits' => 16,
10780
            'ownership' => 1,
10781
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10782
            'shared_memory_name' => 'register14tv',
10783
          },
10784
          'needs_vhdl_wrapper' => 0,
10785
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
10786
        },
10787
        'entityName' => 'x_x25',
10788
        'ports' => {
10789
          'ce' => {
10790
            'attributes' => {
10791
              'domain' => '',
10792
              'group' => 1,
10793
              'isCe' => 1,
10794
              'is_floating_block' => 1,
10795
              'period' => 1,
10796
              'type' => 'logic',
10797
            },
10798
            'direction' => 'in',
10799
            'hdlType' => 'std_logic',
10800
            'width' => 1,
10801
          },
10802
          'clk' => {
10803
            'attributes' => {
10804
              'domain' => '',
10805
              'group' => 1,
10806
              'isClk' => 1,
10807
              'is_floating_block' => 1,
10808
              'period' => 1,
10809
              'type' => 'logic',
10810
            },
10811
            'direction' => 'in',
10812
            'hdlType' => 'std_logic',
10813
            'width' => 1,
10814
          },
10815
          'clr' => {
10816
            'attributes' => {
10817
              'domain' => '',
10818
              'group' => 1,
10819
              'isClr' => 1,
10820
              'is_floating_block' => 1,
10821
              'period' => 1,
10822
              'type' => 'logic',
10823
              'valid_bit_used' => 0,
10824
            },
10825
            'direction' => 'in',
10826
            'hdlType' => 'std_logic',
10827
            'width' => 1,
10828
          },
10829
          'data_in' => {
10830
            'attributes' => {
10831
              'bin_pt' => 0,
10832
              'is_floating_block' => 1,
10833
              'must_be_hdl_vector' => 1,
10834
              'period' => 1,
10835
              'port_id' => 0,
10836
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/data_in',
10837
              'type' => 'Bool',
10838
            },
10839
            'direction' => 'in',
10840
            'hdlType' => 'std_logic_vector(0 downto 0)',
10841
            'width' => 1,
10842
          },
10843
          'dout' => {
10844
            'attributes' => {
10845
              'bin_pt' => 0,
10846
              'is_floating_block' => 1,
10847
              'must_be_hdl_vector' => 1,
10848
              'period' => 1,
10849
              'port_id' => 0,
10850
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/dout',
10851
              'type' => 'Bool',
10852
            },
10853
            'direction' => 'out',
10854
            'hdlType' => 'std_logic_vector(0 downto 0)',
10855
            'width' => 1,
10856
          },
10857
          'en' => {
10858
            'attributes' => {
10859
              'bin_pt' => 0,
10860
              'is_floating_block' => 1,
10861
              'must_be_hdl_vector' => 1,
10862
              'period' => 1,
10863
              'port_id' => 1,
10864
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/en',
10865
              'type' => 'Bool',
10866
            },
10867
            'direction' => 'in',
10868
            'hdlType' => 'std_logic_vector(0 downto 0)',
10869
            'width' => 1,
10870
          },
10871
        },
10872
      },
10873
      'entityName' => 'x_x25',
10874
    },
10875
    'to_register34' => {
10876
      'connections' => {
10877
        'ce' => 'ce_1_sg',
10878
        'clk' => 'clk_1_sg',
10879
        'clr' => [
10880
          'constant',
10881
          '\'0\'',
10882
        ],
10883
        'data_in' => 'reg14_td_net_x0',
10884
        'dout' => 'to_register34_dout_net',
10885
        'en' => 'constant1_op_net_x13',
10886
      },
10887
      'entity' => {
10888
        'attributes' => {
10889
          'generics' => [
10890
          ],
10891
          'is_floating_block' => 1,
10892
          'mask' => {
10893
            'Block_Handle' => 65.0009765625,
10894
            'Block_handle' => 65.0009765625,
10895
            'MDL_Handle' => 3.0009765625,
10896
            'MDL_handle' => 3.0009765625,
10897
            'arith_type' => 1,
10898
            'bin_pt' => 14,
10899
            'block_config' => 'sysgen_blockset:toreg_config',
10900
            'block_handle' => 65.0009765625,
10901
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
10902
            'block_type' => 'toreg',
10903
            'dbl_ovrd' => 0,
10904
            'explicit_data_type' => 0,
10905
            'init' => 0,
10906
            'init_bit_vector' => '00000000000000000000000000000000b',
10907
            'mdl_handle' => 3.0009765625,
10908
            'model_handle' => 3.0009765625,
10909
            'n_bits' => 16,
10910
            'ownership' => 1,
10911
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
10912
            'shared_memory_name' => 'register14td',
10913
          },
10914
          'needs_vhdl_wrapper' => 0,
10915
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
10916
        },
10917
        'entityName' => 'x_x26',
10918
        'ports' => {
10919
          'ce' => {
10920
            'attributes' => {
10921
              'domain' => '',
10922
              'group' => 1,
10923
              'isCe' => 1,
10924
              'is_floating_block' => 1,
10925
              'period' => 1,
10926
              'type' => 'logic',
10927
            },
10928
            'direction' => 'in',
10929
            'hdlType' => 'std_logic',
10930
            'width' => 1,
10931
          },
10932
          'clk' => {
10933
            'attributes' => {
10934
              'domain' => '',
10935
              'group' => 1,
10936
              'isClk' => 1,
10937
              'is_floating_block' => 1,
10938
              'period' => 1,
10939
              'type' => 'logic',
10940
            },
10941
            'direction' => 'in',
10942
            'hdlType' => 'std_logic',
10943
            'width' => 1,
10944
          },
10945
          'clr' => {
10946
            'attributes' => {
10947
              'domain' => '',
10948
              'group' => 1,
10949
              'isClr' => 1,
10950
              'is_floating_block' => 1,
10951
              'period' => 1,
10952
              'type' => 'logic',
10953
              'valid_bit_used' => 0,
10954
            },
10955
            'direction' => 'in',
10956
            'hdlType' => 'std_logic',
10957
            'width' => 1,
10958
          },
10959
          'data_in' => {
10960
            'attributes' => {
10961
              'bin_pt' => 0,
10962
              'is_floating_block' => 1,
10963
              'must_be_hdl_vector' => 1,
10964
              'period' => 1,
10965
              'port_id' => 0,
10966
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/data_in',
10967
              'type' => 'UFix_32_0',
10968
            },
10969
            'direction' => 'in',
10970
            'hdlType' => 'std_logic_vector(31 downto 0)',
10971
            'width' => 32,
10972
          },
10973
          'dout' => {
10974
            'attributes' => {
10975
              'bin_pt' => 0,
10976
              'is_floating_block' => 1,
10977
              'must_be_hdl_vector' => 1,
10978
              'period' => 1,
10979
              'port_id' => 0,
10980
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/dout',
10981
              'type' => 'UFix_32_0',
10982
            },
10983
            'direction' => 'out',
10984
            'hdlType' => 'std_logic_vector(31 downto 0)',
10985
            'width' => 32,
10986
          },
10987
          'en' => {
10988
            'attributes' => {
10989
              'bin_pt' => 0,
10990
              'is_floating_block' => 1,
10991
              'must_be_hdl_vector' => 1,
10992
              'period' => 1,
10993
              'port_id' => 1,
10994
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/en',
10995
              'type' => 'Bool',
10996
            },
10997
            'direction' => 'in',
10998
            'hdlType' => 'std_logic_vector(0 downto 0)',
10999
            'width' => 1,
11000
          },
11001
        },
11002
      },
11003
      'entityName' => 'x_x26',
11004
    },
11005
    'to_register4' => {
11006
      'connections' => {
11007
        'ce' => 'ce_1_sg',
11008
        'clk' => 'clk_1_sg',
11009
        'clr' => [
11010
          'constant',
11011
          '\'0\'',
11012
        ],
11013
        'data_in' => 'reg02_tv_net_x0',
11014
        'dout' => 'to_register4_dout_net',
11015
        'en' => 'constant5_op_net_x14',
11016
      },
11017
      'entity' => {
11018
        'attributes' => {
11019
          'generics' => [
11020
          ],
11021
          'is_floating_block' => 1,
11022
          'mask' => {
11023
            'Block_Handle' => 66.0009765625,
11024
            'Block_handle' => 66.0009765625,
11025
            'MDL_Handle' => 3.0009765625,
11026
            'MDL_handle' => 3.0009765625,
11027
            'arith_type' => 1,
11028
            'bin_pt' => 14,
11029
            'block_config' => 'sysgen_blockset:toreg_config',
11030
            'block_handle' => 66.0009765625,
11031
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
11032
            'block_type' => 'toreg',
11033
            'dbl_ovrd' => 0,
11034
            'explicit_data_type' => 0,
11035
            'init' => 0,
11036
            'init_bit_vector' => '0b',
11037
            'mdl_handle' => 3.0009765625,
11038
            'model_handle' => 3.0009765625,
11039
            'n_bits' => 16,
11040
            'ownership' => 1,
11041
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11042
            'shared_memory_name' => 'register02tv',
11043
          },
11044
          'needs_vhdl_wrapper' => 0,
11045
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
11046
        },
11047
        'entityName' => 'x_x27',
11048
        'ports' => {
11049
          'ce' => {
11050
            'attributes' => {
11051
              'domain' => '',
11052
              'group' => 1,
11053
              'isCe' => 1,
11054
              'is_floating_block' => 1,
11055
              'period' => 1,
11056
              'type' => 'logic',
11057
            },
11058
            'direction' => 'in',
11059
            'hdlType' => 'std_logic',
11060
            'width' => 1,
11061
          },
11062
          'clk' => {
11063
            'attributes' => {
11064
              'domain' => '',
11065
              'group' => 1,
11066
              'isClk' => 1,
11067
              'is_floating_block' => 1,
11068
              'period' => 1,
11069
              'type' => 'logic',
11070
            },
11071
            'direction' => 'in',
11072
            'hdlType' => 'std_logic',
11073
            'width' => 1,
11074
          },
11075
          'clr' => {
11076
            'attributes' => {
11077
              'domain' => '',
11078
              'group' => 1,
11079
              'isClr' => 1,
11080
              'is_floating_block' => 1,
11081
              'period' => 1,
11082
              'type' => 'logic',
11083
              'valid_bit_used' => 0,
11084
            },
11085
            'direction' => 'in',
11086
            'hdlType' => 'std_logic',
11087
            'width' => 1,
11088
          },
11089
          'data_in' => {
11090
            'attributes' => {
11091
              'bin_pt' => 0,
11092
              'is_floating_block' => 1,
11093
              'must_be_hdl_vector' => 1,
11094
              'period' => 1,
11095
              'port_id' => 0,
11096
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/data_in',
11097
              'type' => 'Bool',
11098
            },
11099
            'direction' => 'in',
11100
            'hdlType' => 'std_logic_vector(0 downto 0)',
11101
            'width' => 1,
11102
          },
11103
          'dout' => {
11104
            'attributes' => {
11105
              'bin_pt' => 0,
11106
              'is_floating_block' => 1,
11107
              'must_be_hdl_vector' => 1,
11108
              'period' => 1,
11109
              'port_id' => 0,
11110
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/dout',
11111
              'type' => 'Bool',
11112
            },
11113
            'direction' => 'out',
11114
            'hdlType' => 'std_logic_vector(0 downto 0)',
11115
            'width' => 1,
11116
          },
11117
          'en' => {
11118
            'attributes' => {
11119
              'bin_pt' => 0,
11120
              'is_floating_block' => 1,
11121
              'must_be_hdl_vector' => 1,
11122
              'period' => 1,
11123
              'port_id' => 1,
11124
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/en',
11125
              'type' => 'Bool',
11126
            },
11127
            'direction' => 'in',
11128
            'hdlType' => 'std_logic_vector(0 downto 0)',
11129
            'width' => 1,
11130
          },
11131
        },
11132
      },
11133
      'entityName' => 'x_x27',
11134
    },
11135
    'to_register5' => {
11136
      'connections' => {
11137
        'ce' => 'ce_1_sg',
11138
        'clk' => 'clk_1_sg',
11139
        'clr' => [
11140
          'constant',
11141
          '\'0\'',
11142
        ],
11143
        'data_in' => 'reg02_td_net_x0',
11144
        'dout' => 'to_register5_dout_net',
11145
        'en' => 'constant5_op_net_x15',
11146
      },
11147
      'entity' => {
11148
        'attributes' => {
11149
          'generics' => [
11150
          ],
11151
          'is_floating_block' => 1,
11152
          'mask' => {
11153
            'Block_Handle' => 67.0009765625,
11154
            'Block_handle' => 67.0009765625,
11155
            'MDL_Handle' => 3.0009765625,
11156
            'MDL_handle' => 3.0009765625,
11157
            'arith_type' => 1,
11158
            'bin_pt' => 14,
11159
            'block_config' => 'sysgen_blockset:toreg_config',
11160
            'block_handle' => 67.0009765625,
11161
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
11162
            'block_type' => 'toreg',
11163
            'dbl_ovrd' => 0,
11164
            'explicit_data_type' => 0,
11165
            'init' => 0,
11166
            'init_bit_vector' => '00000000000000000000000000000000b',
11167
            'mdl_handle' => 3.0009765625,
11168
            'model_handle' => 3.0009765625,
11169
            'n_bits' => 16,
11170
            'ownership' => 1,
11171
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11172
            'shared_memory_name' => 'register02td',
11173
          },
11174
          'needs_vhdl_wrapper' => 0,
11175
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
11176
        },
11177
        'entityName' => 'x_x28',
11178
        'ports' => {
11179
          'ce' => {
11180
            'attributes' => {
11181
              'domain' => '',
11182
              'group' => 1,
11183
              'isCe' => 1,
11184
              'is_floating_block' => 1,
11185
              'period' => 1,
11186
              'type' => 'logic',
11187
            },
11188
            'direction' => 'in',
11189
            'hdlType' => 'std_logic',
11190
            'width' => 1,
11191
          },
11192
          'clk' => {
11193
            'attributes' => {
11194
              'domain' => '',
11195
              'group' => 1,
11196
              'isClk' => 1,
11197
              'is_floating_block' => 1,
11198
              'period' => 1,
11199
              'type' => 'logic',
11200
            },
11201
            'direction' => 'in',
11202
            'hdlType' => 'std_logic',
11203
            'width' => 1,
11204
          },
11205
          'clr' => {
11206
            'attributes' => {
11207
              'domain' => '',
11208
              'group' => 1,
11209
              'isClr' => 1,
11210
              'is_floating_block' => 1,
11211
              'period' => 1,
11212
              'type' => 'logic',
11213
              'valid_bit_used' => 0,
11214
            },
11215
            'direction' => 'in',
11216
            'hdlType' => 'std_logic',
11217
            'width' => 1,
11218
          },
11219
          'data_in' => {
11220
            'attributes' => {
11221
              'bin_pt' => 0,
11222
              'is_floating_block' => 1,
11223
              'must_be_hdl_vector' => 1,
11224
              'period' => 1,
11225
              'port_id' => 0,
11226
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/data_in',
11227
              'type' => 'UFix_32_0',
11228
            },
11229
            'direction' => 'in',
11230
            'hdlType' => 'std_logic_vector(31 downto 0)',
11231
            'width' => 32,
11232
          },
11233
          'dout' => {
11234
            'attributes' => {
11235
              'bin_pt' => 0,
11236
              'is_floating_block' => 1,
11237
              'must_be_hdl_vector' => 1,
11238
              'period' => 1,
11239
              'port_id' => 0,
11240
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/dout',
11241
              'type' => 'UFix_32_0',
11242
            },
11243
            'direction' => 'out',
11244
            'hdlType' => 'std_logic_vector(31 downto 0)',
11245
            'width' => 32,
11246
          },
11247
          'en' => {
11248
            'attributes' => {
11249
              'bin_pt' => 0,
11250
              'is_floating_block' => 1,
11251
              'must_be_hdl_vector' => 1,
11252
              'period' => 1,
11253
              'port_id' => 1,
11254
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/en',
11255
              'type' => 'Bool',
11256
            },
11257
            'direction' => 'in',
11258
            'hdlType' => 'std_logic_vector(0 downto 0)',
11259
            'width' => 1,
11260
          },
11261
        },
11262
      },
11263
      'entityName' => 'x_x28',
11264
    },
11265
    'to_register6' => {
11266
      'connections' => {
11267
        'ce' => 'ce_1_sg',
11268
        'clk' => 'clk_1_sg',
11269
        'clr' => [
11270
          'constant',
11271
          '\'0\'',
11272
        ],
11273
        'data_in' => 'debug_in_1i_net_x0',
11274
        'dout' => 'to_register6_dout_net',
11275
        'en' => 'constant5_op_net_x16',
11276
      },
11277
      'entity' => {
11278
        'attributes' => {
11279
          'generics' => [
11280
          ],
11281
          'is_floating_block' => 1,
11282
          'mask' => {
11283
            'Block_Handle' => 68.0009765625,
11284
            'Block_handle' => 68.0009765625,
11285
            'MDL_Handle' => 3.0009765625,
11286
            'MDL_handle' => 3.0009765625,
11287
            'arith_type' => 1,
11288
            'bin_pt' => 14,
11289
            'block_config' => 'sysgen_blockset:toreg_config',
11290
            'block_handle' => 68.0009765625,
11291
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11292
            'block_type' => 'toreg',
11293
            'dbl_ovrd' => 0,
11294
            'explicit_data_type' => 0,
11295
            'init' => 0,
11296
            'init_bit_vector' => '00000000000000000000000000000000b',
11297
            'mdl_handle' => 3.0009765625,
11298
            'model_handle' => 3.0009765625,
11299
            'n_bits' => 16,
11300
            'ownership' => 1,
11301
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11302
            'shared_memory_name' => 'debug1i',
11303
          },
11304
          'needs_vhdl_wrapper' => 0,
11305
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
11306
        },
11307
        'entityName' => 'x_x29',
11308
        'ports' => {
11309
          'ce' => {
11310
            'attributes' => {
11311
              'domain' => '',
11312
              'group' => 1,
11313
              'isCe' => 1,
11314
              'is_floating_block' => 1,
11315
              'period' => 1,
11316
              'type' => 'logic',
11317
            },
11318
            'direction' => 'in',
11319
            'hdlType' => 'std_logic',
11320
            'width' => 1,
11321
          },
11322
          'clk' => {
11323
            'attributes' => {
11324
              'domain' => '',
11325
              'group' => 1,
11326
              'isClk' => 1,
11327
              'is_floating_block' => 1,
11328
              'period' => 1,
11329
              'type' => 'logic',
11330
            },
11331
            'direction' => 'in',
11332
            'hdlType' => 'std_logic',
11333
            'width' => 1,
11334
          },
11335
          'clr' => {
11336
            'attributes' => {
11337
              'domain' => '',
11338
              'group' => 1,
11339
              'isClr' => 1,
11340
              'is_floating_block' => 1,
11341
              'period' => 1,
11342
              'type' => 'logic',
11343
              'valid_bit_used' => 0,
11344
            },
11345
            'direction' => 'in',
11346
            'hdlType' => 'std_logic',
11347
            'width' => 1,
11348
          },
11349
          'data_in' => {
11350
            'attributes' => {
11351
              'bin_pt' => 0,
11352
              'is_floating_block' => 1,
11353
              'must_be_hdl_vector' => 1,
11354
              'period' => 1,
11355
              'port_id' => 0,
11356
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/data_in',
11357
              'type' => 'UFix_32_0',
11358
            },
11359
            'direction' => 'in',
11360
            'hdlType' => 'std_logic_vector(31 downto 0)',
11361
            'width' => 32,
11362
          },
11363
          'dout' => {
11364
            'attributes' => {
11365
              'bin_pt' => 0,
11366
              'is_floating_block' => 1,
11367
              'must_be_hdl_vector' => 1,
11368
              'period' => 1,
11369
              'port_id' => 0,
11370
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/dout',
11371
              'type' => 'UFix_32_0',
11372
            },
11373
            'direction' => 'out',
11374
            'hdlType' => 'std_logic_vector(31 downto 0)',
11375
            'width' => 32,
11376
          },
11377
          'en' => {
11378
            'attributes' => {
11379
              'bin_pt' => 0,
11380
              'is_floating_block' => 1,
11381
              'must_be_hdl_vector' => 1,
11382
              'period' => 1,
11383
              'port_id' => 1,
11384
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/en',
11385
              'type' => 'Bool',
11386
            },
11387
            'direction' => 'in',
11388
            'hdlType' => 'std_logic_vector(0 downto 0)',
11389
            'width' => 1,
11390
          },
11391
        },
11392
      },
11393
      'entityName' => 'x_x29',
11394
    },
11395
    'to_register7' => {
11396
      'connections' => {
11397
        'ce' => 'ce_1_sg',
11398
        'clk' => 'clk_1_sg',
11399
        'clr' => [
11400
          'constant',
11401
          '\'0\'',
11402
        ],
11403
        'data_in' => 'reg01_td_net_x0',
11404
        'dout' => 'to_register7_dout_net',
11405
        'en' => 'constant5_op_net_x17',
11406
      },
11407
      'entity' => {
11408
        'attributes' => {
11409
          'generics' => [
11410
          ],
11411
          'is_floating_block' => 1,
11412
          'mask' => {
11413
            'Block_Handle' => 69.0009765625,
11414
            'Block_handle' => 69.0009765625,
11415
            'MDL_Handle' => 3.0009765625,
11416
            'MDL_handle' => 3.0009765625,
11417
            'arith_type' => 1,
11418
            'bin_pt' => 14,
11419
            'block_config' => 'sysgen_blockset:toreg_config',
11420
            'block_handle' => 69.0009765625,
11421
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11422
            'block_type' => 'toreg',
11423
            'dbl_ovrd' => 0,
11424
            'explicit_data_type' => 0,
11425
            'init' => 0,
11426
            'init_bit_vector' => '00000000000000000000000000000000b',
11427
            'mdl_handle' => 3.0009765625,
11428
            'model_handle' => 3.0009765625,
11429
            'n_bits' => 16,
11430
            'ownership' => 1,
11431
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11432
            'shared_memory_name' => 'register01td',
11433
          },
11434
          'needs_vhdl_wrapper' => 0,
11435
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
11436
        },
11437
        'entityName' => 'x_x30',
11438
        'ports' => {
11439
          'ce' => {
11440
            'attributes' => {
11441
              'domain' => '',
11442
              'group' => 1,
11443
              'isCe' => 1,
11444
              'is_floating_block' => 1,
11445
              'period' => 1,
11446
              'type' => 'logic',
11447
            },
11448
            'direction' => 'in',
11449
            'hdlType' => 'std_logic',
11450
            'width' => 1,
11451
          },
11452
          'clk' => {
11453
            'attributes' => {
11454
              'domain' => '',
11455
              'group' => 1,
11456
              'isClk' => 1,
11457
              'is_floating_block' => 1,
11458
              'period' => 1,
11459
              'type' => 'logic',
11460
            },
11461
            'direction' => 'in',
11462
            'hdlType' => 'std_logic',
11463
            'width' => 1,
11464
          },
11465
          'clr' => {
11466
            'attributes' => {
11467
              'domain' => '',
11468
              'group' => 1,
11469
              'isClr' => 1,
11470
              'is_floating_block' => 1,
11471
              'period' => 1,
11472
              'type' => 'logic',
11473
              'valid_bit_used' => 0,
11474
            },
11475
            'direction' => 'in',
11476
            'hdlType' => 'std_logic',
11477
            'width' => 1,
11478
          },
11479
          'data_in' => {
11480
            'attributes' => {
11481
              'bin_pt' => 0,
11482
              'is_floating_block' => 1,
11483
              'must_be_hdl_vector' => 1,
11484
              'period' => 1,
11485
              'port_id' => 0,
11486
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/data_in',
11487
              'type' => 'UFix_32_0',
11488
            },
11489
            'direction' => 'in',
11490
            'hdlType' => 'std_logic_vector(31 downto 0)',
11491
            'width' => 32,
11492
          },
11493
          'dout' => {
11494
            'attributes' => {
11495
              'bin_pt' => 0,
11496
              'is_floating_block' => 1,
11497
              'must_be_hdl_vector' => 1,
11498
              'period' => 1,
11499
              'port_id' => 0,
11500
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/dout',
11501
              'type' => 'UFix_32_0',
11502
            },
11503
            'direction' => 'out',
11504
            'hdlType' => 'std_logic_vector(31 downto 0)',
11505
            'width' => 32,
11506
          },
11507
          'en' => {
11508
            'attributes' => {
11509
              'bin_pt' => 0,
11510
              'is_floating_block' => 1,
11511
              'must_be_hdl_vector' => 1,
11512
              'period' => 1,
11513
              'port_id' => 1,
11514
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/en',
11515
              'type' => 'Bool',
11516
            },
11517
            'direction' => 'in',
11518
            'hdlType' => 'std_logic_vector(0 downto 0)',
11519
            'width' => 1,
11520
          },
11521
        },
11522
      },
11523
      'entityName' => 'x_x30',
11524
    },
11525
    'to_register8' => {
11526
      'connections' => {
11527
        'ce' => 'ce_1_sg',
11528
        'clk' => 'clk_1_sg',
11529
        'clr' => [
11530
          'constant',
11531
          '\'0\'',
11532
        ],
11533
        'data_in' => 'reg03_tv_net_x0',
11534
        'dout' => 'to_register8_dout_net',
11535
        'en' => 'constant5_op_net_x18',
11536
      },
11537
      'entity' => {
11538
        'attributes' => {
11539
          'generics' => [
11540
          ],
11541
          'is_floating_block' => 1,
11542
          'mask' => {
11543
            'Block_Handle' => 70.0009765625,
11544
            'Block_handle' => 70.0009765625,
11545
            'MDL_Handle' => 3.0009765625,
11546
            'MDL_handle' => 3.0009765625,
11547
            'arith_type' => 1,
11548
            'bin_pt' => 14,
11549
            'block_config' => 'sysgen_blockset:toreg_config',
11550
            'block_handle' => 70.0009765625,
11551
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11552
            'block_type' => 'toreg',
11553
            'dbl_ovrd' => 0,
11554
            'explicit_data_type' => 0,
11555
            'init' => 0,
11556
            'init_bit_vector' => '0b',
11557
            'mdl_handle' => 3.0009765625,
11558
            'model_handle' => 3.0009765625,
11559
            'n_bits' => 16,
11560
            'ownership' => 1,
11561
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11562
            'shared_memory_name' => 'register03tv',
11563
          },
11564
          'needs_vhdl_wrapper' => 0,
11565
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
11566
        },
11567
        'entityName' => 'x_x31',
11568
        'ports' => {
11569
          'ce' => {
11570
            'attributes' => {
11571
              'domain' => '',
11572
              'group' => 1,
11573
              'isCe' => 1,
11574
              'is_floating_block' => 1,
11575
              'period' => 1,
11576
              'type' => 'logic',
11577
            },
11578
            'direction' => 'in',
11579
            'hdlType' => 'std_logic',
11580
            'width' => 1,
11581
          },
11582
          'clk' => {
11583
            'attributes' => {
11584
              'domain' => '',
11585
              'group' => 1,
11586
              'isClk' => 1,
11587
              'is_floating_block' => 1,
11588
              'period' => 1,
11589
              'type' => 'logic',
11590
            },
11591
            'direction' => 'in',
11592
            'hdlType' => 'std_logic',
11593
            'width' => 1,
11594
          },
11595
          'clr' => {
11596
            'attributes' => {
11597
              'domain' => '',
11598
              'group' => 1,
11599
              'isClr' => 1,
11600
              'is_floating_block' => 1,
11601
              'period' => 1,
11602
              'type' => 'logic',
11603
              'valid_bit_used' => 0,
11604
            },
11605
            'direction' => 'in',
11606
            'hdlType' => 'std_logic',
11607
            'width' => 1,
11608
          },
11609
          'data_in' => {
11610
            'attributes' => {
11611
              'bin_pt' => 0,
11612
              'is_floating_block' => 1,
11613
              'must_be_hdl_vector' => 1,
11614
              'period' => 1,
11615
              'port_id' => 0,
11616
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/data_in',
11617
              'type' => 'Bool',
11618
            },
11619
            'direction' => 'in',
11620
            'hdlType' => 'std_logic_vector(0 downto 0)',
11621
            'width' => 1,
11622
          },
11623
          'dout' => {
11624
            'attributes' => {
11625
              'bin_pt' => 0,
11626
              'is_floating_block' => 1,
11627
              'must_be_hdl_vector' => 1,
11628
              'period' => 1,
11629
              'port_id' => 0,
11630
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/dout',
11631
              'type' => 'Bool',
11632
            },
11633
            'direction' => 'out',
11634
            'hdlType' => 'std_logic_vector(0 downto 0)',
11635
            'width' => 1,
11636
          },
11637
          'en' => {
11638
            'attributes' => {
11639
              'bin_pt' => 0,
11640
              'is_floating_block' => 1,
11641
              'must_be_hdl_vector' => 1,
11642
              'period' => 1,
11643
              'port_id' => 1,
11644
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/en',
11645
              'type' => 'Bool',
11646
            },
11647
            'direction' => 'in',
11648
            'hdlType' => 'std_logic_vector(0 downto 0)',
11649
            'width' => 1,
11650
          },
11651
        },
11652
      },
11653
      'entityName' => 'x_x31',
11654
    },
11655
    'to_register9' => {
11656
      'connections' => {
11657
        'ce' => 'ce_1_sg',
11658
        'clk' => 'clk_1_sg',
11659
        'clr' => [
11660
          'constant',
11661
          '\'0\'',
11662
        ],
11663
        'data_in' => 'reg03_td_net_x0',
11664
        'dout' => 'to_register9_dout_net',
11665
        'en' => 'constant5_op_net_x19',
11666
      },
11667
      'entity' => {
11668
        'attributes' => {
11669
          'generics' => [
11670
          ],
11671
          'is_floating_block' => 1,
11672
          'mask' => {
11673
            'Block_Handle' => 71.0009765625,
11674
            'Block_handle' => 71.0009765625,
11675
            'MDL_Handle' => 3.0009765625,
11676
            'MDL_handle' => 3.0009765625,
11677
            'arith_type' => 1,
11678
            'bin_pt' => 14,
11679
            'block_config' => 'sysgen_blockset:toreg_config',
11680
            'block_handle' => 71.0009765625,
11681
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11682
            'block_type' => 'toreg',
11683
            'dbl_ovrd' => 0,
11684
            'explicit_data_type' => 0,
11685
            'init' => 0,
11686
            'init_bit_vector' => '00000000000000000000000000000000b',
11687
            'mdl_handle' => 3.0009765625,
11688
            'model_handle' => 3.0009765625,
11689
            'n_bits' => 16,
11690
            'ownership' => 1,
11691
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
11692
            'shared_memory_name' => 'register03td',
11693
          },
11694
          'needs_vhdl_wrapper' => 0,
11695
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
11696
        },
11697
        'entityName' => 'x_x32',
11698
        'ports' => {
11699
          'ce' => {
11700
            'attributes' => {
11701
              'domain' => '',
11702
              'group' => 1,
11703
              'isCe' => 1,
11704
              'is_floating_block' => 1,
11705
              'period' => 1,
11706
              'type' => 'logic',
11707
            },
11708
            'direction' => 'in',
11709
            'hdlType' => 'std_logic',
11710
            'width' => 1,
11711
          },
11712
          'clk' => {
11713
            'attributes' => {
11714
              'domain' => '',
11715
              'group' => 1,
11716
              'isClk' => 1,
11717
              'is_floating_block' => 1,
11718
              'period' => 1,
11719
              'type' => 'logic',
11720
            },
11721
            'direction' => 'in',
11722
            'hdlType' => 'std_logic',
11723
            'width' => 1,
11724
          },
11725
          'clr' => {
11726
            'attributes' => {
11727
              'domain' => '',
11728
              'group' => 1,
11729
              'isClr' => 1,
11730
              'is_floating_block' => 1,
11731
              'period' => 1,
11732
              'type' => 'logic',
11733
              'valid_bit_used' => 0,
11734
            },
11735
            'direction' => 'in',
11736
            'hdlType' => 'std_logic',
11737
            'width' => 1,
11738
          },
11739
          'data_in' => {
11740
            'attributes' => {
11741
              'bin_pt' => 0,
11742
              'is_floating_block' => 1,
11743
              'must_be_hdl_vector' => 1,
11744
              'period' => 1,
11745
              'port_id' => 0,
11746
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/data_in',
11747
              'type' => 'UFix_32_0',
11748
            },
11749
            'direction' => 'in',
11750
            'hdlType' => 'std_logic_vector(31 downto 0)',
11751
            'width' => 32,
11752
          },
11753
          'dout' => {
11754
            'attributes' => {
11755
              'bin_pt' => 0,
11756
              'is_floating_block' => 1,
11757
              'must_be_hdl_vector' => 1,
11758
              'period' => 1,
11759
              'port_id' => 0,
11760
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/dout',
11761
              'type' => 'UFix_32_0',
11762
            },
11763
            'direction' => 'out',
11764
            'hdlType' => 'std_logic_vector(31 downto 0)',
11765
            'width' => 32,
11766
          },
11767
          'en' => {
11768
            'attributes' => {
11769
              'bin_pt' => 0,
11770
              'is_floating_block' => 1,
11771
              'must_be_hdl_vector' => 1,
11772
              'period' => 1,
11773
              'port_id' => 1,
11774
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/en',
11775
              'type' => 'Bool',
11776
            },
11777
            'direction' => 'in',
11778
            'hdlType' => 'std_logic_vector(0 downto 0)',
11779
            'width' => 1,
11780
          },
11781
        },
11782
      },
11783
      'entityName' => 'x_x32',
11784
    },
11785
  },
11786
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.