OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [MyUserLogic/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [sysgen/] [cntr_11_0_1a411d6ef586e892.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file cntr_11_0_1a411d6ef586e892.vhd when simulating
30
-- the core, cntr_11_0_1a411d6ef586e892. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY cntr_11_0_1a411d6ef586e892 IS
44
        port (
45
        clk: IN std_logic;
46
        ce: IN std_logic;
47
        sinit: IN std_logic;
48
        q: OUT std_logic_VECTOR(11 downto 0));
49
END cntr_11_0_1a411d6ef586e892;
50
 
51
ARCHITECTURE cntr_11_0_1a411d6ef586e892_a OF cntr_11_0_1a411d6ef586e892 IS
52
-- synthesis translate_off
53
component wrapped_cntr_11_0_1a411d6ef586e892
54
        port (
55
        clk: IN std_logic;
56
        ce: IN std_logic;
57
        sinit: IN std_logic;
58
        q: OUT std_logic_VECTOR(11 downto 0));
59
end component;
60
 
61
-- Configuration specification 
62
        for all : wrapped_cntr_11_0_1a411d6ef586e892 use entity XilinxCoreLib.c_counter_binary_v11_0(behavioral)
63
                generic map(
64
                        c_count_mode => 0,
65
                        c_load_low => 0,
66
                        c_count_to => "1",
67
                        c_implementation => 0,
68
                        c_has_sclr => 0,
69
                        c_ce_overrides_sync => 0,
70
                        c_restrict_count => 0,
71
                        c_width => 12,
72
                        c_verbosity => 0,
73
                        c_has_load => 0,
74
                        c_latency => 1,
75
                        c_has_thresh0 => 0,
76
                        c_ainit_val => "0",
77
                        c_has_ce => 1,
78
                        c_sclr_overrides_sset => 1,
79
                        c_fb_latency => 0,
80
                        c_sinit_val => "0",
81
                        c_has_sset => 0,
82
                        c_has_sinit => 1,
83
                        c_count_by => "1",
84
                        c_xdevicefamily => "virtex6",
85
                        c_thresh0_value => "1");
86
-- synthesis translate_on
87
BEGIN
88
-- synthesis translate_off
89
U0 : wrapped_cntr_11_0_1a411d6ef586e892
90
                port map (
91
                        clk => clk,
92
                        ce => ce,
93
                        sinit => sinit,
94
                        q => q);
95
-- synthesis translate_on
96
 
97
END cntr_11_0_1a411d6ef586e892_a;
98
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.