OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [MyUserLogic/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [sysgen/] [synopsis.2] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5,
14
    'base_system_period_simulink' => '5e-009',
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0,
19
    'clock_loc' => '',
20
    'clock_wrapper' => 'Clock Enables',
21
    'clock_wrapper_sgadvanced' => '',
22
    'compilation' => 'NGC Netlist',
23
    'compilation_lut' => {
24
      'keys' => [
25
        'HDL Netlist',
26
        'Bitstream',
27
        'NGC Netlist',
28
      ],
29
      'values' => [
30
        'target1',
31
        'target2',
32
        'target3',
33
      ],
34
    },
35
    'compilation_target' => 'NGC Netlist',
36
    'core_generation' => 1,
37
    'core_generation_sgadvanced' => '',
38
    'core_is_deployed' => 0,
39
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c03f93279696b19bd',
40
    'coregen_part_family' => 'virtex6',
41
    'createTestbench' => 0,
42
    'create_interface_document' => 'off',
43
    'dbl_ovrd' => -1,
44
    'dbl_ovrd_sgadvanced' => '',
45
    'dcm_info' => {
46
    },
47
    'dcm_input_clock_period' => 5,
48
    'deprecated_control' => 'off',
49
    'deprecated_control_sgadvanced' => '',
50
    'design' => 'user_logic',
51
    'designFile' => 'user_logic.vhd',
52
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\pcie-v6-ml605_ISE12_OpenCores\\MySysGen\\PCIe_UserLogic_00.mdl',
53
    'device' => 'xc6vlx240t-3ff784',
54
    'device_speed' => -3,
55
    'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
56
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
57
    'entityNamingInstrs' => {
58
      'nameMap' => undef,
59
      'namesAlreadyUsed' => undef,
60
    },
61
    'eval_field' => 0,
62
    'fileAttributes' => {
63
      'cntr_11_0_1a411d6ef586e892.ngc' => {
64
        'producer' => 'coregen',
65
      },
66
    },
67
    'files' => [
68
      'cntr_11_0_1a411d6ef586e892.ngc',
69
      'xlpersistentdff.ngc',
70
      'synopsis',
71
      'user_logic.vhd',
72
    ],
73
    'fxdptinstalled' => 1,
74
    'generateUsing71FrontEnd' => 1,
75
    'generating_island_subsystem_handle' => 261.0009765625,
76
    'generating_subsystem_handle' => 261.0009765625,
77
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
78
    'has_advanced_control' => 0,
79
    'hdlDir' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen/hdl',
80
    'hdlKind' => 'vhdl',
81
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen',
82
    'impl_file' => 'ISE Defaults*',
83
    'incr_netlist' => 'off',
84
    'incr_netlist_sgadvanced' => '',
85
    'infoedit' => ' System Generator',
86
    'isdeployed' => 0,
87
    'ise_version' => '12.3i',
88
    'master_sysgen_token_handle' => 262.0009765625,
89
    'matlab' => 'C:/Programmi/MATLAB/R2010a',
90
    'matlab_fixedpoint' => 1,
91
    'mdlHandle' => 3.0009765625,
92
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
93
    'modelDiagnostics' => [
94
      {
95
        'count' => 339,
96
        'isMask' => 0,
97
        'type' => 'PCIe_UserLogic_00 Total blocks',
98
      },
99
      {
100
        'count' => 4,
101
        'isMask' => 0,
102
        'type' => 'DiscretePulseGenerator',
103
      },
104
      {
105
        'count' => 327,
106
        'isMask' => 0,
107
        'type' => 'S-Function',
108
      },
109
      {
110
        'count' => 4,
111
        'isMask' => 0,
112
        'type' => 'SubSystem',
113
      },
114
      {
115
        'count' => 4,
116
        'isMask' => 0,
117
        'type' => 'Terminator',
118
      },
119
      {
120
        'count' => 23,
121
        'isMask' => 1,
122
        'type' => 'Xilinx Constant Block Block',
123
      },
124
      {
125
        'count' => 1,
126
        'isMask' => 1,
127
        'type' => 'Xilinx Counter Block',
128
      },
129
      {
130
        'count' => 44,
131
        'isMask' => 1,
132
        'type' => 'Xilinx Gateway In Block',
133
      },
134
      {
135
        'count' => 39,
136
        'isMask' => 1,
137
        'type' => 'Xilinx Gateway Out Block',
138
      },
139
      {
140
        'count' => 2,
141
        'isMask' => 1,
142
        'type' => 'Xilinx Inverter Block',
143
      },
144
      {
145
        'count' => 1,
146
        'isMask' => 1,
147
        'type' => 'Xilinx Logical Block Block',
148
      },
149
      {
150
        'count' => 78,
151
        'isMask' => 1,
152
        'type' => 'Xilinx Register Block',
153
      },
154
      {
155
        'count' => 62,
156
        'isMask' => 1,
157
        'type' => 'Xilinx Shared Memory Based From Register Block',
158
      },
159
      {
160
        'count' => 62,
161
        'isMask' => 1,
162
        'type' => 'Xilinx Shared Memory Based To Register Block',
163
      },
164
      {
165
        'count' => 1,
166
        'isMask' => 1,
167
        'type' => 'Xilinx Subsystem Generator Block',
168
      },
169
      {
170
        'count' => 2,
171
        'isMask' => 1,
172
        'type' => 'Xilinx System Generator Block',
173
      },
174
      {
175
        'count' => 14,
176
        'isMask' => 1,
177
        'type' => 'Xilinx Type Converter Block',
178
      },
179
    ],
180
    'model_globals_initialized' => 1,
181
    'model_path' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MySysGen/PCIe_UserLogic_00.mdl',
182
    'myxilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
183
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
184
    'ngc_config' => {
185
      'include_cf' => 1,
186
      'include_clockwrapper' => 1,
187
    },
188
    'ngc_files' => [
189
      'xlpersistentdff.ngc',
190
    ],
191
    'num_sim_cycles' => 2000000000,
192
    'package' => 'ff784',
193
    'part' => 'xc6vlx240t',
194
    'partFamily' => 'virtex6',
195
    'port_data_types_enabled' => 1,
196
    'postgeneration_fcn' => 'xlNGCPostGeneration',
197
    'preserve_hierarchy' => 0,
198
    'proj_type' => 'Project Navigator',
199
    'proj_type_sgadvanced' => '',
200
    'run_coregen' => 'off',
201
    'run_coregen_sgadvanced' => '',
202
    'sample_time_colors_enabled' => 1,
203
    'sampletimecolors' => 1,
204
    'settings_fcn' => 'xlngcsettings',
205
    'sg_blockgui_xml' => '',
206
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
207
    'sg_list_contents' => '',
208
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
209
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
210
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
211
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
212
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
213
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
214
fprintf(\'\',\'COMMENT: end icon graphics\');
215
fprintf(\'\',\'COMMENT: begin icon text\');
216
fprintf(\'\',\'COMMENT: end icon text\');',
217
    'sg_version' => '',
218
    'sggui_pos' => '-1,-1,-1,-1',
219
    'simulation_island_subsystem_handle' => 261.0009765625,
220
    'simulinkName' => 'parking_lot',
221
    'simulink_accelerator_running' => 0,
222
    'simulink_debugger_running' => 0,
223
    'simulink_period' => '5e-009',
224
    'speed' => -3,
225
    'synth_file' => 'XST Defaults*',
226
    'synthesisTool' => 'XST',
227
    'synthesis_language' => 'vhdl',
228
    'synthesis_tool' => 'XST',
229
    'synthesis_tool_sgadvanced' => '',
230
    'sysclk_period' => 5,
231
    'sysgen' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
232
    'sysgenRoot' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
233
    'sysgenTokenSettings' => {
234
      'Impl_file' => 'ISE Defaults',
235
      'Impl_file_sgadvanced' => '',
236
      'Synth_file' => 'XST Defaults',
237
      'Synth_file_sgadvanced' => '',
238
      'base_system_period_hardware' => 5,
239
      'base_system_period_simulink' => '5e-009',
240
      'block_icon_display' => 'Default',
241
      'block_type' => 'sysgen',
242
      'block_version' => '',
243
      'ce_clr' => 0,
244
      'clock_loc' => '',
245
      'clock_wrapper' => 'Clock Enables',
246
      'clock_wrapper_sgadvanced' => '',
247
      'compilation' => 'NGC Netlist',
248
      'compilation_lut' => {
249
        'keys' => [
250
          'HDL Netlist',
251
          'Bitstream',
252
          'NGC Netlist',
253
        ],
254
        'values' => [
255
          'target1',
256
          'target2',
257
          'target3',
258
        ],
259
      },
260
      'core_generation' => 1,
261
      'core_generation_sgadvanced' => '',
262
      'coregen_part_family' => 'virtex6',
263
      'create_interface_document' => 'off',
264
      'dbl_ovrd' => -1,
265
      'dbl_ovrd_sgadvanced' => '',
266
      'dcm_input_clock_period' => 5,
267
      'deprecated_control' => 'off',
268
      'deprecated_control_sgadvanced' => '',
269
      'directory' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_1_PCIe_UserLogic_00_USER_LOGIC',
270
      'eval_field' => 0,
271
      'has_advanced_control' => 0,
272
      'impl_file' => 'ISE Defaults*',
273
      'incr_netlist' => 'off',
274
      'incr_netlist_sgadvanced' => '',
275
      'infoedit' => ' System Generator',
276
      'master_sysgen_token_handle' => 262.0009765625,
277
      'ngc_config' => {
278
        'include_cf' => 1,
279
        'include_clockwrapper' => 1,
280
      },
281
      'package' => 'ff784',
282
      'part' => 'xc6vlx240t',
283
      'postgeneration_fcn' => 'xlNGCPostGeneration',
284
      'preserve_hierarchy' => 0,
285
      'proj_type' => 'Project Navigator',
286
      'proj_type_sgadvanced' => '',
287
      'run_coregen' => 'off',
288
      'run_coregen_sgadvanced' => '',
289
      'settings_fcn' => 'xlngcsettings',
290
      'sg_blockgui_xml' => '',
291
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
292
      'sg_list_contents' => '',
293
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
294
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
295
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
296
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
297
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
298
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
299
fprintf(\'\',\'COMMENT: end icon graphics\');
300
fprintf(\'\',\'COMMENT: begin icon text\');
301
fprintf(\'\',\'COMMENT: end icon text\');',
302
      'sggui_pos' => '-1,-1,-1,-1',
303
      'simulation_island_subsystem_handle' => 261.0009765625,
304
      'simulink_period' => '5e-009',
305
      'speed' => -3,
306
      'synth_file' => 'XST Defaults*',
307
      'synthesis_language' => 'vhdl',
308
      'synthesis_tool' => 'XST',
309
      'synthesis_tool_sgadvanced' => '',
310
      'sysclk_period' => 5,
311
      'testbench' => 0,
312
      'testbench_sgadvanced' => '',
313
      'trim_vbits' => 1,
314
      'trim_vbits_sgadvanced' => '',
315
      'xilinx_device' => 'xc6vlx240t-3ff784',
316
      'xilinxfamily' => 'virtex6',
317
    },
318
    'sysgen_Root' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE/sysgen',
319
    'systemClockPeriod' => 5,
320
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
321
    'testbench' => 0,
322
    'testbench_sgadvanced' => '',
323
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/pcie-v6-ml605_ISE12_OpenCores/MyUserLogic/top_level_1_PCIe_UserLogic_00_USER_LOGIC/sysgen',
324
    'trim_vbits' => 1,
325
    'trim_vbits_sgadvanced' => '',
326
    'use_ce_syn_keep' => 1,
327
    'use_strict_names' => 1,
328
    'user_tips_enabled' => 0,
329
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
330
    'using71Netlister' => 1,
331
    'verilog_files' => [
332
      'conv_pkg.v',
333
      'synth_reg.v',
334
      'synth_reg_w_init.v',
335
      'convert_type.v',
336
    ],
337
    'version' => '',
338
    'vhdl_files' => [
339
      'conv_pkg.vhd',
340
      'synth_reg.vhd',
341
      'synth_reg_w_init.vhd',
342
    ],
343
    'vsimtime' => '11000000275.000000 ns',
344
    'xilinx' => 'C:/Programmi/Xilinx/12.3/ISE_DS/ISE',
345
    'xilinx_device' => 'xc6vlx240t-3ff784',
346
    'xilinx_family' => 'virtex6',
347
    'xilinx_package' => 'ff784',
348
    'xilinx_part' => 'xc6vlx240t',
349
    'xilinxdevice' => 'xc6vlx240t-3ff784',
350
    'xilinxfamily' => 'virtex6',
351
    'xilinxpart' => 'xc6vlx240t',
352
  },
353
  'entityName' => '',
354
  'nets' => {
355
    'bram_rd_addr_net' => {
356
      'hdlType' => 'std_logic_vector(11 downto 0)',
357
      'width' => 12,
358
    },
359
    'bram_rd_dout_net' => {
360
      'hdlType' => 'std_logic_vector(63 downto 0)',
361
      'width' => 64,
362
    },
363
    'bram_wr_addr_net' => {
364
      'hdlType' => 'std_logic_vector(11 downto 0)',
365
      'width' => 12,
366
    },
367
    'bram_wr_din_net' => {
368
      'hdlType' => 'std_logic_vector(63 downto 0)',
369
      'width' => 64,
370
    },
371
    'bram_wr_en_net' => {
372
      'hdlType' => 'std_logic_vector(7 downto 0)',
373
      'width' => 8,
374
    },
375
    'ce_1_sg_x0' => {
376
      'hdlType' => 'std_logic',
377
      'width' => 1,
378
    },
379
    'clk_1_sg_x0' => {
380
      'hdlType' => 'std_logic',
381
      'width' => 1,
382
    },
383
    'constant6_op_net_x0' => {
384
      'hdlType' => 'std_logic',
385
      'width' => 1,
386
    },
387
    'constant6_op_net_x1' => {
388
      'hdlType' => 'std_logic',
389
      'width' => 1,
390
    },
391
    'constant6_op_net_x10' => {
392
      'hdlType' => 'std_logic',
393
      'width' => 1,
394
    },
395
    'constant6_op_net_x11' => {
396
      'hdlType' => 'std_logic',
397
      'width' => 1,
398
    },
399
    'constant6_op_net_x12' => {
400
      'hdlType' => 'std_logic',
401
      'width' => 1,
402
    },
403
    'constant6_op_net_x13' => {
404
      'hdlType' => 'std_logic',
405
      'width' => 1,
406
    },
407
    'constant6_op_net_x14' => {
408
      'hdlType' => 'std_logic',
409
      'width' => 1,
410
    },
411
    'constant6_op_net_x15' => {
412
      'hdlType' => 'std_logic',
413
      'width' => 1,
414
    },
415
    'constant6_op_net_x16' => {
416
      'hdlType' => 'std_logic',
417
      'width' => 1,
418
    },
419
    'constant6_op_net_x17' => {
420
      'hdlType' => 'std_logic',
421
      'width' => 1,
422
    },
423
    'constant6_op_net_x18' => {
424
      'hdlType' => 'std_logic',
425
      'width' => 1,
426
    },
427
    'constant6_op_net_x19' => {
428
      'hdlType' => 'std_logic',
429
      'width' => 1,
430
    },
431
    'constant6_op_net_x2' => {
432
      'hdlType' => 'std_logic',
433
      'width' => 1,
434
    },
435
    'constant6_op_net_x20' => {
436
      'hdlType' => 'std_logic',
437
      'width' => 1,
438
    },
439
    'constant6_op_net_x21' => {
440
      'hdlType' => 'std_logic',
441
      'width' => 1,
442
    },
443
    'constant6_op_net_x22' => {
444
      'hdlType' => 'std_logic',
445
      'width' => 1,
446
    },
447
    'constant6_op_net_x23' => {
448
      'hdlType' => 'std_logic',
449
      'width' => 1,
450
    },
451
    'constant6_op_net_x24' => {
452
      'hdlType' => 'std_logic',
453
      'width' => 1,
454
    },
455
    'constant6_op_net_x25' => {
456
      'hdlType' => 'std_logic',
457
      'width' => 1,
458
    },
459
    'constant6_op_net_x26' => {
460
      'hdlType' => 'std_logic',
461
      'width' => 1,
462
    },
463
    'constant6_op_net_x27' => {
464
      'hdlType' => 'std_logic',
465
      'width' => 1,
466
    },
467
    'constant6_op_net_x3' => {
468
      'hdlType' => 'std_logic',
469
      'width' => 1,
470
    },
471
    'constant6_op_net_x4' => {
472
      'hdlType' => 'std_logic',
473
      'width' => 1,
474
    },
475
    'constant6_op_net_x5' => {
476
      'hdlType' => 'std_logic',
477
      'width' => 1,
478
    },
479
    'constant6_op_net_x6' => {
480
      'hdlType' => 'std_logic',
481
      'width' => 1,
482
    },
483
    'constant6_op_net_x7' => {
484
      'hdlType' => 'std_logic',
485
      'width' => 1,
486
    },
487
    'constant6_op_net_x8' => {
488
      'hdlType' => 'std_logic',
489
      'width' => 1,
490
    },
491
    'constant6_op_net_x9' => {
492
      'hdlType' => 'std_logic',
493
      'width' => 1,
494
    },
495
    'data_in_net' => {
496
      'hdlType' => 'std_logic_vector(31 downto 0)',
497
      'width' => 32,
498
    },
499
    'data_in_x0_net' => {
500
      'hdlType' => 'std_logic',
501
      'width' => 1,
502
    },
503
    'data_in_x10_net' => {
504
      'hdlType' => 'std_logic_vector(31 downto 0)',
505
      'width' => 32,
506
    },
507
    'data_in_x11_net' => {
508
      'hdlType' => 'std_logic_vector(31 downto 0)',
509
      'width' => 32,
510
    },
511
    'data_in_x12_net' => {
512
      'hdlType' => 'std_logic',
513
      'width' => 1,
514
    },
515
    'data_in_x13_net' => {
516
      'hdlType' => 'std_logic_vector(31 downto 0)',
517
      'width' => 32,
518
    },
519
    'data_in_x14_net' => {
520
      'hdlType' => 'std_logic',
521
      'width' => 1,
522
    },
523
    'data_in_x15_net' => {
524
      'hdlType' => 'std_logic_vector(31 downto 0)',
525
      'width' => 32,
526
    },
527
    'data_in_x16_net' => {
528
      'hdlType' => 'std_logic',
529
      'width' => 1,
530
    },
531
    'data_in_x17_net' => {
532
      'hdlType' => 'std_logic_vector(31 downto 0)',
533
      'width' => 32,
534
    },
535
    'data_in_x18_net' => {
536
      'hdlType' => 'std_logic',
537
      'width' => 1,
538
    },
539
    'data_in_x19_net' => {
540
      'hdlType' => 'std_logic_vector(31 downto 0)',
541
      'width' => 32,
542
    },
543
    'data_in_x1_net' => {
544
      'hdlType' => 'std_logic',
545
      'width' => 1,
546
    },
547
    'data_in_x20_net' => {
548
      'hdlType' => 'std_logic_vector(31 downto 0)',
549
      'width' => 32,
550
    },
551
    'data_in_x21_net' => {
552
      'hdlType' => 'std_logic',
553
      'width' => 1,
554
    },
555
    'data_in_x22_net' => {
556
      'hdlType' => 'std_logic',
557
      'width' => 1,
558
    },
559
    'data_in_x23_net' => {
560
      'hdlType' => 'std_logic_vector(31 downto 0)',
561
      'width' => 32,
562
    },
563
    'data_in_x24_net' => {
564
      'hdlType' => 'std_logic',
565
      'width' => 1,
566
    },
567
    'data_in_x25_net' => {
568
      'hdlType' => 'std_logic_vector(31 downto 0)',
569
      'width' => 32,
570
    },
571
    'data_in_x26_net' => {
572
      'hdlType' => 'std_logic_vector(31 downto 0)',
573
      'width' => 32,
574
    },
575
    'data_in_x2_net' => {
576
      'hdlType' => 'std_logic',
577
      'width' => 1,
578
    },
579
    'data_in_x3_net' => {
580
      'hdlType' => 'std_logic',
581
      'width' => 1,
582
    },
583
    'data_in_x4_net' => {
584
      'hdlType' => 'std_logic_vector(31 downto 0)',
585
      'width' => 32,
586
    },
587
    'data_in_x5_net' => {
588
      'hdlType' => 'std_logic',
589
      'width' => 1,
590
    },
591
    'data_in_x6_net' => {
592
      'hdlType' => 'std_logic_vector(31 downto 0)',
593
      'width' => 32,
594
    },
595
    'data_in_x7_net' => {
596
      'hdlType' => 'std_logic',
597
      'width' => 1,
598
    },
599
    'data_in_x8_net' => {
600
      'hdlType' => 'std_logic_vector(31 downto 0)',
601
      'width' => 32,
602
    },
603
    'data_in_x9_net' => {
604
      'hdlType' => 'std_logic',
605
      'width' => 1,
606
    },
607
    'data_out_net' => {
608
      'hdlType' => 'std_logic',
609
      'width' => 1,
610
    },
611
    'data_out_x0_net' => {
612
      'hdlType' => 'std_logic_vector(31 downto 0)',
613
      'width' => 32,
614
    },
615
    'data_out_x10_net' => {
616
      'hdlType' => 'std_logic_vector(31 downto 0)',
617
      'width' => 32,
618
    },
619
    'data_out_x11_net' => {
620
      'hdlType' => 'std_logic',
621
      'width' => 1,
622
    },
623
    'data_out_x12_net' => {
624
      'hdlType' => 'std_logic_vector(31 downto 0)',
625
      'width' => 32,
626
    },
627
    'data_out_x13_net' => {
628
      'hdlType' => 'std_logic',
629
      'width' => 1,
630
    },
631
    'data_out_x14_net' => {
632
      'hdlType' => 'std_logic_vector(31 downto 0)',
633
      'width' => 32,
634
    },
635
    'data_out_x15_net' => {
636
      'hdlType' => 'std_logic',
637
      'width' => 1,
638
    },
639
    'data_out_x16_net' => {
640
      'hdlType' => 'std_logic_vector(31 downto 0)',
641
      'width' => 32,
642
    },
643
    'data_out_x17_net' => {
644
      'hdlType' => 'std_logic',
645
      'width' => 1,
646
    },
647
    'data_out_x18_net' => {
648
      'hdlType' => 'std_logic_vector(31 downto 0)',
649
      'width' => 32,
650
    },
651
    'data_out_x19_net' => {
652
      'hdlType' => 'std_logic_vector(31 downto 0)',
653
      'width' => 32,
654
    },
655
    'data_out_x1_net' => {
656
      'hdlType' => 'std_logic',
657
      'width' => 1,
658
    },
659
    'data_out_x20_net' => {
660
      'hdlType' => 'std_logic',
661
      'width' => 1,
662
    },
663
    'data_out_x21_net' => {
664
      'hdlType' => 'std_logic_vector(31 downto 0)',
665
      'width' => 32,
666
    },
667
    'data_out_x22_net' => {
668
      'hdlType' => 'std_logic',
669
      'width' => 1,
670
    },
671
    'data_out_x23_net' => {
672
      'hdlType' => 'std_logic',
673
      'width' => 1,
674
    },
675
    'data_out_x24_net' => {
676
      'hdlType' => 'std_logic_vector(31 downto 0)',
677
      'width' => 32,
678
    },
679
    'data_out_x25_net' => {
680
      'hdlType' => 'std_logic',
681
      'width' => 1,
682
    },
683
    'data_out_x26_net' => {
684
      'hdlType' => 'std_logic_vector(31 downto 0)',
685
      'width' => 32,
686
    },
687
    'data_out_x27_net' => {
688
      'hdlType' => 'std_logic',
689
      'width' => 1,
690
    },
691
    'data_out_x28_net' => {
692
      'hdlType' => 'std_logic_vector(31 downto 0)',
693
      'width' => 32,
694
    },
695
    'data_out_x2_net' => {
696
      'hdlType' => 'std_logic_vector(31 downto 0)',
697
      'width' => 32,
698
    },
699
    'data_out_x3_net' => {
700
      'hdlType' => 'std_logic',
701
      'width' => 1,
702
    },
703
    'data_out_x6_net' => {
704
      'hdlType' => 'std_logic_vector(31 downto 0)',
705
      'width' => 32,
706
    },
707
    'data_out_x7_net' => {
708
      'hdlType' => 'std_logic',
709
      'width' => 1,
710
    },
711
    'data_out_x8_net' => {
712
      'hdlType' => 'std_logic_vector(31 downto 0)',
713
      'width' => 32,
714
    },
715
    'data_out_x9_net' => {
716
      'hdlType' => 'std_logic',
717
      'width' => 1,
718
    },
719
    'fifo_rd_count_net' => {
720
      'hdlType' => 'std_logic_vector(14 downto 0)',
721
      'width' => 15,
722
    },
723
    'fifo_rd_dout_net' => {
724
      'hdlType' => 'std_logic_vector(71 downto 0)',
725
      'width' => 72,
726
    },
727
    'fifo_rd_empty_net' => {
728
      'hdlType' => 'std_logic',
729
      'width' => 1,
730
    },
731
    'fifo_rd_en_net' => {
732
      'hdlType' => 'std_logic',
733
      'width' => 1,
734
    },
735
    'fifo_rd_pempty_net' => {
736
      'hdlType' => 'std_logic',
737
      'width' => 1,
738
    },
739
    'fifo_rd_valid_net' => {
740
      'hdlType' => 'std_logic',
741
      'width' => 1,
742
    },
743
    'fifo_wr_count_net' => {
744
      'hdlType' => 'std_logic_vector(14 downto 0)',
745
      'width' => 15,
746
    },
747
    'fifo_wr_din_net' => {
748
      'hdlType' => 'std_logic_vector(71 downto 0)',
749
      'width' => 72,
750
    },
751
    'fifo_wr_en_net' => {
752
      'hdlType' => 'std_logic',
753
      'width' => 1,
754
    },
755
    'fifo_wr_full_net' => {
756
      'hdlType' => 'std_logic',
757
      'width' => 1,
758
    },
759
    'fifo_wr_pfull_net' => {
760
      'hdlType' => 'std_logic',
761
      'width' => 1,
762
    },
763
    'from_register15_data_out_net' => {
764
      'hdlType' => 'std_logic',
765
      'width' => 1,
766
    },
767
    'from_register16_data_out_net' => {
768
      'hdlType' => 'std_logic',
769
      'width' => 1,
770
    },
771
    'from_register19_data_out_net' => {
772
      'hdlType' => 'std_logic_vector(31 downto 0)',
773
      'width' => 32,
774
    },
775
    'from_register1_data_out_net' => {
776
      'hdlType' => 'std_logic_vector(31 downto 0)',
777
      'width' => 32,
778
    },
779
    'from_register2_data_out_net' => {
780
      'hdlType' => 'std_logic_vector(31 downto 0)',
781
      'width' => 32,
782
    },
783
    'from_register_data_out_net' => {
784
      'hdlType' => 'std_logic_vector(31 downto 0)',
785
      'width' => 32,
786
    },
787
    'rst_i_net' => {
788
      'hdlType' => 'std_logic',
789
      'width' => 1,
790
    },
791
    'rst_o_net' => {
792
      'hdlType' => 'std_logic',
793
      'width' => 1,
794
    },
795
    'to_register10_dout_net' => {
796
      'hdlType' => 'std_logic',
797
      'width' => 1,
798
    },
799
    'to_register11_dout_net' => {
800
      'hdlType' => 'std_logic',
801
      'width' => 1,
802
    },
803
    'to_register12_dout_net' => {
804
      'hdlType' => 'std_logic',
805
      'width' => 1,
806
    },
807
    'to_register13_dout_net' => {
808
      'hdlType' => 'std_logic_vector(31 downto 0)',
809
      'width' => 32,
810
    },
811
    'to_register14_dout_net' => {
812
      'hdlType' => 'std_logic',
813
      'width' => 1,
814
    },
815
    'to_register15_dout_net' => {
816
      'hdlType' => 'std_logic_vector(31 downto 0)',
817
      'width' => 32,
818
    },
819
    'to_register16_dout_net' => {
820
      'hdlType' => 'std_logic',
821
      'width' => 1,
822
    },
823
    'to_register17_dout_net' => {
824
      'hdlType' => 'std_logic_vector(31 downto 0)',
825
      'width' => 32,
826
    },
827
    'to_register18_dout_net' => {
828
      'hdlType' => 'std_logic',
829
      'width' => 1,
830
    },
831
    'to_register19_dout_net' => {
832
      'hdlType' => 'std_logic_vector(31 downto 0)',
833
      'width' => 32,
834
    },
835
    'to_register1_dout_net' => {
836
      'hdlType' => 'std_logic',
837
      'width' => 1,
838
    },
839
    'to_register20_dout_net' => {
840
      'hdlType' => 'std_logic',
841
      'width' => 1,
842
    },
843
    'to_register21_dout_net' => {
844
      'hdlType' => 'std_logic_vector(31 downto 0)',
845
      'width' => 32,
846
    },
847
    'to_register22_dout_net' => {
848
      'hdlType' => 'std_logic',
849
      'width' => 1,
850
    },
851
    'to_register23_dout_net' => {
852
      'hdlType' => 'std_logic_vector(31 downto 0)',
853
      'width' => 32,
854
    },
855
    'to_register24_dout_net' => {
856
      'hdlType' => 'std_logic',
857
      'width' => 1,
858
    },
859
    'to_register25_dout_net' => {
860
      'hdlType' => 'std_logic_vector(31 downto 0)',
861
      'width' => 32,
862
    },
863
    'to_register26_dout_net' => {
864
      'hdlType' => 'std_logic',
865
      'width' => 1,
866
    },
867
    'to_register27_dout_net' => {
868
      'hdlType' => 'std_logic_vector(31 downto 0)',
869
      'width' => 32,
870
    },
871
    'to_register2_dout_net' => {
872
      'hdlType' => 'std_logic_vector(31 downto 0)',
873
      'width' => 32,
874
    },
875
    'to_register3_dout_net' => {
876
      'hdlType' => 'std_logic_vector(31 downto 0)',
877
      'width' => 32,
878
    },
879
    'to_register4_dout_net' => {
880
      'hdlType' => 'std_logic',
881
      'width' => 1,
882
    },
883
    'to_register5_dout_net' => {
884
      'hdlType' => 'std_logic',
885
      'width' => 1,
886
    },
887
    'to_register6_dout_net' => {
888
      'hdlType' => 'std_logic_vector(31 downto 0)',
889
      'width' => 32,
890
    },
891
    'to_register7_dout_net' => {
892
      'hdlType' => 'std_logic',
893
      'width' => 1,
894
    },
895
    'to_register8_dout_net' => {
896
      'hdlType' => 'std_logic_vector(31 downto 0)',
897
      'width' => 32,
898
    },
899
    'to_register9_dout_net' => {
900
      'hdlType' => 'std_logic_vector(31 downto 0)',
901
      'width' => 32,
902
    },
903
    'to_register_dout_net' => {
904
      'hdlType' => 'std_logic_vector(31 downto 0)',
905
      'width' => 32,
906
    },
907
    'user_int_1o_net' => {
908
      'hdlType' => 'std_logic',
909
      'width' => 1,
910
    },
911
    'user_int_2o_net' => {
912
      'hdlType' => 'std_logic',
913
      'width' => 1,
914
    },
915
    'user_int_3o_net' => {
916
      'hdlType' => 'std_logic',
917
      'width' => 1,
918
    },
919
  },
920
  'subblocks' => {
921
    'bram_rd_addr' => {
922
      'connections' => {
923
        'bram_rd_addr' => 'bram_rd_addr_net',
924
      },
925
      'entity' => {
926
        'attributes' => {
927
          'isGateway' => 1,
928
          'is_floating_block' => 1,
929
        },
930
        'entityName' => 'bram_rd_addr',
931
        'ports' => {
932
          'bram_rd_addr' => {
933
            'attributes' => {
934
              'bin_pt' => 0,
935
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_addr.dat',
936
              'is_floating_block' => 1,
937
              'is_gateway_port' => 1,
938
              'must_be_hdl_vector' => 1,
939
              'period' => 1,
940
              'port_id' => 0,
941
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_addr/BRAM_rd_addr',
942
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_addr',
943
              'timingConstraint' => 'none',
944
              'type' => 'UFix_12_0',
945
            },
946
            'direction' => 'in',
947
            'hdlType' => 'std_logic_vector(11 downto 0)',
948
            'width' => 12,
949
          },
950
        },
951
      },
952
      'entityName' => 'bram_rd_addr',
953
    },
954
    'bram_rd_dout' => {
955
      'connections' => {
956
        'bram_rd_dout' => 'bram_rd_dout_net',
957
      },
958
      'entity' => {
959
        'attributes' => {
960
          'isGateway' => 1,
961
          'is_floating_block' => 1,
962
        },
963
        'entityName' => 'bram_rd_dout',
964
        'ports' => {
965
          'bram_rd_dout' => {
966
            'attributes' => {
967
              'bin_pt' => 0,
968
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_dout.dat',
969
              'is_floating_block' => 1,
970
              'is_gateway_port' => 1,
971
              'must_be_hdl_vector' => 1,
972
              'period' => 1,
973
              'port_id' => 0,
974
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_dout/BRAM_rd_dout',
975
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_rd_dout',
976
              'timingConstraint' => 'none',
977
              'type' => 'UFix_64_0',
978
            },
979
            'direction' => 'out',
980
            'hdlType' => 'std_logic_vector(63 downto 0)',
981
            'width' => 64,
982
          },
983
        },
984
      },
985
      'entityName' => 'bram_rd_dout',
986
    },
987
    'bram_wr_addr' => {
988
      'connections' => {
989
        'bram_wr_addr' => 'bram_wr_addr_net',
990
      },
991
      'entity' => {
992
        'attributes' => {
993
          'isGateway' => 1,
994
          'is_floating_block' => 1,
995
        },
996
        'entityName' => 'bram_wr_addr',
997
        'ports' => {
998
          'bram_wr_addr' => {
999
            'attributes' => {
1000
              'bin_pt' => 0,
1001
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_addr.dat',
1002
              'is_floating_block' => 1,
1003
              'is_gateway_port' => 1,
1004
              'must_be_hdl_vector' => 1,
1005
              'period' => 1,
1006
              'port_id' => 0,
1007
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_addr/BRAM_wr_addr',
1008
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_addr',
1009
              'timingConstraint' => 'none',
1010
              'type' => 'UFix_12_0',
1011
            },
1012
            'direction' => 'in',
1013
            'hdlType' => 'std_logic_vector(11 downto 0)',
1014
            'width' => 12,
1015
          },
1016
        },
1017
      },
1018
      'entityName' => 'bram_wr_addr',
1019
    },
1020
    'bram_wr_din' => {
1021
      'connections' => {
1022
        'bram_wr_din' => 'bram_wr_din_net',
1023
      },
1024
      'entity' => {
1025
        'attributes' => {
1026
          'isGateway' => 1,
1027
          'is_floating_block' => 1,
1028
        },
1029
        'entityName' => 'bram_wr_din',
1030
        'ports' => {
1031
          'bram_wr_din' => {
1032
            'attributes' => {
1033
              'bin_pt' => 0,
1034
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_din.dat',
1035
              'is_floating_block' => 1,
1036
              'is_gateway_port' => 1,
1037
              'must_be_hdl_vector' => 1,
1038
              'period' => 1,
1039
              'port_id' => 0,
1040
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_din/BRAM_wr_din',
1041
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_din',
1042
              'timingConstraint' => 'none',
1043
              'type' => 'UFix_64_0',
1044
            },
1045
            'direction' => 'in',
1046
            'hdlType' => 'std_logic_vector(63 downto 0)',
1047
            'width' => 64,
1048
          },
1049
        },
1050
      },
1051
      'entityName' => 'bram_wr_din',
1052
    },
1053
    'bram_wr_en' => {
1054
      'connections' => {
1055
        'bram_wr_en' => 'bram_wr_en_net',
1056
      },
1057
      'entity' => {
1058
        'attributes' => {
1059
          'isGateway' => 1,
1060
          'is_floating_block' => 1,
1061
        },
1062
        'entityName' => 'bram_wr_en',
1063
        'ports' => {
1064
          'bram_wr_en' => {
1065
            'attributes' => {
1066
              'bin_pt' => 0,
1067
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_en.dat',
1068
              'is_floating_block' => 1,
1069
              'is_gateway_port' => 1,
1070
              'must_be_hdl_vector' => 1,
1071
              'period' => 1,
1072
              'port_id' => 0,
1073
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_en/BRAM_wr_en',
1074
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/BRAM_wr_en',
1075
              'timingConstraint' => 'none',
1076
              'type' => 'UFix_8_0',
1077
            },
1078
            'direction' => 'in',
1079
            'hdlType' => 'std_logic_vector(7 downto 0)',
1080
            'width' => 8,
1081
          },
1082
        },
1083
      },
1084
      'entityName' => 'bram_wr_en',
1085
    },
1086
    'default_clock_driver' => {
1087
      'connections' => {
1088
        'ce_1' => 'ce_1_sg_x0',
1089
        'clk_1' => 'clk_1_sg_x0',
1090
      },
1091
      'entity' => {
1092
        'attributes' => {
1093
          'domain' => 'default',
1094
          'isClkDriver' => 1,
1095
        },
1096
        'entityName' => 'default_clock_driver',
1097
        'ports' => {
1098
          'ce_1' => {
1099
            'attributes' => {
1100
              'domain' => 'default',
1101
              'group' => 1,
1102
              'isCe' => 1,
1103
              'period' => 1,
1104
              'type' => 'logic',
1105
            },
1106
            'direction' => 'out',
1107
            'hdlType' => 'std_logic',
1108
            'width' => 1,
1109
          },
1110
          'clk_1' => {
1111
            'attributes' => {
1112
              'domain' => 'default',
1113
              'group' => 1,
1114
              'isClk' => 1,
1115
              'period' => 1,
1116
              'type' => 'logic',
1117
            },
1118
            'direction' => 'out',
1119
            'hdlType' => 'std_logic',
1120
            'width' => 1,
1121
          },
1122
        },
1123
      },
1124
      'entityName' => 'default_clock_driver',
1125
    },
1126
    'fifo_rd_count' => {
1127
      'connections' => {
1128
        'fifo_rd_count' => 'fifo_rd_count_net',
1129
      },
1130
      'entity' => {
1131
        'attributes' => {
1132
          'isGateway' => 1,
1133
          'is_floating_block' => 1,
1134
        },
1135
        'entityName' => 'fifo_rd_count',
1136
        'ports' => {
1137
          'fifo_rd_count' => {
1138
            'attributes' => {
1139
              'bin_pt' => 0,
1140
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_count.dat',
1141
              'is_floating_block' => 1,
1142
              'is_gateway_port' => 1,
1143
              'must_be_hdl_vector' => 1,
1144
              'period' => 1,
1145
              'port_id' => 0,
1146
              'simulinkName' => 'fifo_rd_count',
1147
              'source_block' => '',
1148
              'timingConstraint' => 'none',
1149
              'type' => 'UFix_15_0',
1150
            },
1151
            'direction' => 'out',
1152
            'hdlType' => 'std_logic_vector(14 downto 0)',
1153
            'width' => 15,
1154
          },
1155
        },
1156
      },
1157
      'entityName' => 'fifo_rd_count',
1158
    },
1159
    'fifo_rd_dout' => {
1160
      'connections' => {
1161
        'fifo_rd_dout' => 'fifo_rd_dout_net',
1162
      },
1163
      'entity' => {
1164
        'attributes' => {
1165
          'isGateway' => 1,
1166
          'is_floating_block' => 1,
1167
        },
1168
        'entityName' => 'fifo_rd_dout',
1169
        'ports' => {
1170
          'fifo_rd_dout' => {
1171
            'attributes' => {
1172
              'bin_pt' => 0,
1173
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_dout.dat',
1174
              'is_floating_block' => 1,
1175
              'is_gateway_port' => 1,
1176
              'must_be_hdl_vector' => 1,
1177
              'period' => 1,
1178
              'port_id' => 0,
1179
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_dout/FIFO_rd_dout',
1180
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_dout',
1181
              'timingConstraint' => 'none',
1182
              'type' => 'UFix_72_0',
1183
            },
1184
            'direction' => 'out',
1185
            'hdlType' => 'std_logic_vector(71 downto 0)',
1186
            'width' => 72,
1187
          },
1188
        },
1189
      },
1190
      'entityName' => 'fifo_rd_dout',
1191
    },
1192
    'fifo_rd_empty' => {
1193
      'connections' => {
1194
        'fifo_rd_empty' => 'fifo_rd_empty_net',
1195
      },
1196
      'entity' => {
1197
        'attributes' => {
1198
          'isGateway' => 1,
1199
          'is_floating_block' => 1,
1200
        },
1201
        'entityName' => 'fifo_rd_empty',
1202
        'ports' => {
1203
          'fifo_rd_empty' => {
1204
            'attributes' => {
1205
              'bin_pt' => 0,
1206
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_empty.dat',
1207
              'is_floating_block' => 1,
1208
              'is_gateway_port' => 1,
1209
              'must_be_hdl_vector' => 1,
1210
              'period' => 1,
1211
              'port_id' => 0,
1212
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_empty/FIFO_rd_empty',
1213
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_empty',
1214
              'timingConstraint' => 'none',
1215
              'type' => 'Bool',
1216
            },
1217
            'direction' => 'out',
1218
            'hdlType' => 'std_logic',
1219
            'width' => 1,
1220
          },
1221
        },
1222
      },
1223
      'entityName' => 'fifo_rd_empty',
1224
    },
1225
    'fifo_rd_en' => {
1226
      'connections' => {
1227
        'fifo_rd_en' => 'fifo_rd_en_net',
1228
      },
1229
      'entity' => {
1230
        'attributes' => {
1231
          'isGateway' => 1,
1232
          'is_floating_block' => 1,
1233
        },
1234
        'entityName' => 'fifo_rd_en',
1235
        'ports' => {
1236
          'fifo_rd_en' => {
1237
            'attributes' => {
1238
              'bin_pt' => 0,
1239
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_en.dat',
1240
              'is_floating_block' => 1,
1241
              'is_gateway_port' => 1,
1242
              'must_be_hdl_vector' => 1,
1243
              'period' => 1,
1244
              'port_id' => 0,
1245
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_en/FIFO_rd_en',
1246
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_en',
1247
              'timingConstraint' => 'none',
1248
              'type' => 'Bool',
1249
            },
1250
            'direction' => 'in',
1251
            'hdlType' => 'std_logic',
1252
            'width' => 1,
1253
          },
1254
        },
1255
      },
1256
      'entityName' => 'fifo_rd_en',
1257
    },
1258
    'fifo_rd_pempty' => {
1259
      'connections' => {
1260
        'fifo_rd_pempty' => 'fifo_rd_pempty_net',
1261
      },
1262
      'entity' => {
1263
        'attributes' => {
1264
          'isGateway' => 1,
1265
          'is_floating_block' => 1,
1266
        },
1267
        'entityName' => 'fifo_rd_pempty',
1268
        'ports' => {
1269
          'fifo_rd_pempty' => {
1270
            'attributes' => {
1271
              'bin_pt' => 0,
1272
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_pempty.dat',
1273
              'is_floating_block' => 1,
1274
              'is_gateway_port' => 1,
1275
              'must_be_hdl_vector' => 1,
1276
              'period' => 1,
1277
              'port_id' => 0,
1278
              'simulinkName' => 'fifo_rd_pempty',
1279
              'source_block' => '',
1280
              'timingConstraint' => 'none',
1281
              'type' => 'Bool',
1282
            },
1283
            'direction' => 'out',
1284
            'hdlType' => 'std_logic',
1285
            'width' => 1,
1286
          },
1287
        },
1288
      },
1289
      'entityName' => 'fifo_rd_pempty',
1290
    },
1291
    'fifo_rd_valid' => {
1292
      'connections' => {
1293
        'fifo_rd_valid' => 'fifo_rd_valid_net',
1294
      },
1295
      'entity' => {
1296
        'attributes' => {
1297
          'isGateway' => 1,
1298
          'is_floating_block' => 1,
1299
        },
1300
        'entityName' => 'fifo_rd_valid',
1301
        'ports' => {
1302
          'fifo_rd_valid' => {
1303
            'attributes' => {
1304
              'bin_pt' => 0,
1305
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_valid.dat',
1306
              'is_floating_block' => 1,
1307
              'is_gateway_port' => 1,
1308
              'must_be_hdl_vector' => 1,
1309
              'period' => 1,
1310
              'port_id' => 0,
1311
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_valid/FIFO_rd_valid',
1312
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_rd_valid',
1313
              'timingConstraint' => 'none',
1314
              'type' => 'Bool',
1315
            },
1316
            'direction' => 'out',
1317
            'hdlType' => 'std_logic',
1318
            'width' => 1,
1319
          },
1320
        },
1321
      },
1322
      'entityName' => 'fifo_rd_valid',
1323
    },
1324
    'fifo_wr_count' => {
1325
      'connections' => {
1326
        'fifo_wr_count' => 'fifo_wr_count_net',
1327
      },
1328
      'entity' => {
1329
        'attributes' => {
1330
          'isGateway' => 1,
1331
          'is_floating_block' => 1,
1332
        },
1333
        'entityName' => 'fifo_wr_count',
1334
        'ports' => {
1335
          'fifo_wr_count' => {
1336
            'attributes' => {
1337
              'bin_pt' => 0,
1338
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_count.dat',
1339
              'is_floating_block' => 1,
1340
              'is_gateway_port' => 1,
1341
              'must_be_hdl_vector' => 1,
1342
              'period' => 1,
1343
              'port_id' => 0,
1344
              'simulinkName' => 'fifo_wr_count',
1345
              'source_block' => '',
1346
              'timingConstraint' => 'none',
1347
              'type' => 'UFix_15_0',
1348
            },
1349
            'direction' => 'out',
1350
            'hdlType' => 'std_logic_vector(14 downto 0)',
1351
            'width' => 15,
1352
          },
1353
        },
1354
      },
1355
      'entityName' => 'fifo_wr_count',
1356
    },
1357
    'fifo_wr_din' => {
1358
      'connections' => {
1359
        'fifo_wr_din' => 'fifo_wr_din_net',
1360
      },
1361
      'entity' => {
1362
        'attributes' => {
1363
          'isGateway' => 1,
1364
          'is_floating_block' => 1,
1365
        },
1366
        'entityName' => 'fifo_wr_din',
1367
        'ports' => {
1368
          'fifo_wr_din' => {
1369
            'attributes' => {
1370
              'bin_pt' => 0,
1371
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_din.dat',
1372
              'is_floating_block' => 1,
1373
              'is_gateway_port' => 1,
1374
              'must_be_hdl_vector' => 1,
1375
              'period' => 1,
1376
              'port_id' => 0,
1377
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_din/FIFO_wr_din',
1378
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_din',
1379
              'timingConstraint' => 'none',
1380
              'type' => 'UFix_72_0',
1381
            },
1382
            'direction' => 'in',
1383
            'hdlType' => 'std_logic_vector(71 downto 0)',
1384
            'width' => 72,
1385
          },
1386
        },
1387
      },
1388
      'entityName' => 'fifo_wr_din',
1389
    },
1390
    'fifo_wr_en' => {
1391
      'connections' => {
1392
        'fifo_wr_en' => 'fifo_wr_en_net',
1393
      },
1394
      'entity' => {
1395
        'attributes' => {
1396
          'isGateway' => 1,
1397
          'is_floating_block' => 1,
1398
        },
1399
        'entityName' => 'fifo_wr_en',
1400
        'ports' => {
1401
          'fifo_wr_en' => {
1402
            'attributes' => {
1403
              'bin_pt' => 0,
1404
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_en.dat',
1405
              'is_floating_block' => 1,
1406
              'is_gateway_port' => 1,
1407
              'must_be_hdl_vector' => 1,
1408
              'period' => 1,
1409
              'port_id' => 0,
1410
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_en/FIFO_wr_en',
1411
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/FIFO_wr_en',
1412
              'timingConstraint' => 'none',
1413
              'type' => 'Bool',
1414
            },
1415
            'direction' => 'in',
1416
            'hdlType' => 'std_logic',
1417
            'width' => 1,
1418
          },
1419
        },
1420
      },
1421
      'entityName' => 'fifo_wr_en',
1422
    },
1423
    'fifo_wr_full' => {
1424
      'connections' => {
1425
        'fifo_wr_full' => 'fifo_wr_full_net',
1426
      },
1427
      'entity' => {
1428
        'attributes' => {
1429
          'isGateway' => 1,
1430
          'is_floating_block' => 1,
1431
        },
1432
        'entityName' => 'fifo_wr_full',
1433
        'ports' => {
1434
          'fifo_wr_full' => {
1435
            'attributes' => {
1436
              'bin_pt' => 0,
1437
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_full.dat',
1438
              'is_floating_block' => 1,
1439
              'is_gateway_port' => 1,
1440
              'must_be_hdl_vector' => 1,
1441
              'period' => 1,
1442
              'port_id' => 0,
1443
              'simulinkName' => 'fifo_wr_full',
1444
              'source_block' => '',
1445
              'timingConstraint' => 'none',
1446
              'type' => 'Bool',
1447
            },
1448
            'direction' => 'out',
1449
            'hdlType' => 'std_logic',
1450
            'width' => 1,
1451
          },
1452
        },
1453
      },
1454
      'entityName' => 'fifo_wr_full',
1455
    },
1456
    'fifo_wr_pfull' => {
1457
      'connections' => {
1458
        'fifo_wr_pfull' => 'fifo_wr_pfull_net',
1459
      },
1460
      'entity' => {
1461
        'attributes' => {
1462
          'isGateway' => 1,
1463
          'is_floating_block' => 1,
1464
        },
1465
        'entityName' => 'fifo_wr_pfull',
1466
        'ports' => {
1467
          'fifo_wr_pfull' => {
1468
            'attributes' => {
1469
              'bin_pt' => 0,
1470
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_pfull.dat',
1471
              'is_floating_block' => 1,
1472
              'is_gateway_port' => 1,
1473
              'must_be_hdl_vector' => 1,
1474
              'period' => 1,
1475
              'port_id' => 0,
1476
              'simulinkName' => 'fifo_wr_pfull',
1477
              'source_block' => '',
1478
              'timingConstraint' => 'none',
1479
              'type' => 'Bool',
1480
            },
1481
            'direction' => 'out',
1482
            'hdlType' => 'std_logic',
1483
            'width' => 1,
1484
          },
1485
        },
1486
      },
1487
      'entityName' => 'fifo_wr_pfull',
1488
    },
1489
    'from_register' => {
1490
      'connections' => {
1491
        'data_out' => 'from_register_data_out_net',
1492
      },
1493
      'entity' => {
1494
        'attributes' => {
1495
          'generics' => [
1496
          ],
1497
          'is_floating_block' => 1,
1498
          'mask' => {
1499
            'Block_Handle' => 2524.00183105469,
1500
            'Block_handle' => 2524.00183105469,
1501
            'MDL_Handle' => 3.0009765625,
1502
            'MDL_handle' => 3.0009765625,
1503
            'arith_type' => 2,
1504
            'bin_pt' => 0,
1505
            'block_config' => 'sysgen_blockset:fromreg_config',
1506
            'block_handle' => 2524.00183105469,
1507
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register',
1508
            'block_type' => 'fromreg',
1509
            'dbl_ovrd' => 0,
1510
            'init' => 0,
1511
            'init_bit_vector' => '00000000000000000000000000000000b',
1512
            'mdl_handle' => 3.0009765625,
1513
            'model_handle' => 3.0009765625,
1514
            'n_bits' => 32,
1515
            'ownership' => 2,
1516
            'period' => '5e-009',
1517
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1518
            'shared_memory_name' => 'debug1i',
1519
          },
1520
          'needs_vhdl_wrapper' => 0,
1521
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register',
1522
        },
1523
        'entityName' => 'x_x119',
1524
        'ports' => {
1525
          'data_out' => {
1526
            'attributes' => {
1527
              'bin_pt' => 0,
1528
              'is_floating_block' => 1,
1529
              'must_be_hdl_vector' => 1,
1530
              'period' => 1,
1531
              'port_id' => 0,
1532
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register/data_out',
1533
              'type' => 'UFix_32_0',
1534
            },
1535
            'direction' => 'out',
1536
            'hdlType' => 'std_logic_vector(31 downto 0)',
1537
            'width' => 32,
1538
          },
1539
        },
1540
      },
1541
      'entityName' => 'x_x119',
1542
    },
1543
    'from_register1' => {
1544
      'connections' => {
1545
        'data_out' => 'from_register1_data_out_net',
1546
      },
1547
      'entity' => {
1548
        'attributes' => {
1549
          'generics' => [
1550
          ],
1551
          'is_floating_block' => 1,
1552
          'mask' => {
1553
            'Block_Handle' => 2525.35266113281,
1554
            'Block_handle' => 2525.35266113281,
1555
            'MDL_Handle' => 3.0009765625,
1556
            'MDL_handle' => 3.0009765625,
1557
            'arith_type' => 2,
1558
            'bin_pt' => 0,
1559
            'block_config' => 'sysgen_blockset:fromreg_config',
1560
            'block_handle' => 2525.35266113281,
1561
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1',
1562
            'block_type' => 'fromreg',
1563
            'dbl_ovrd' => 0,
1564
            'init' => 0,
1565
            'init_bit_vector' => '00000000000000000000000000000000b',
1566
            'mdl_handle' => 3.0009765625,
1567
            'model_handle' => 3.0009765625,
1568
            'n_bits' => 32,
1569
            'ownership' => 2,
1570
            'period' => '5e-009',
1571
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1572
            'shared_memory_name' => 'debug2i',
1573
          },
1574
          'needs_vhdl_wrapper' => 0,
1575
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1',
1576
        },
1577
        'entityName' => 'x_x120',
1578
        'ports' => {
1579
          'data_out' => {
1580
            'attributes' => {
1581
              'bin_pt' => 0,
1582
              'is_floating_block' => 1,
1583
              'must_be_hdl_vector' => 1,
1584
              'period' => 1,
1585
              'port_id' => 0,
1586
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register1/data_out',
1587
              'type' => 'UFix_32_0',
1588
            },
1589
            'direction' => 'out',
1590
            'hdlType' => 'std_logic_vector(31 downto 0)',
1591
            'width' => 32,
1592
          },
1593
        },
1594
      },
1595
      'entityName' => 'x_x120',
1596
    },
1597
    'from_register10' => {
1598
      'connections' => {
1599
        'data_out' => 'data_out_net',
1600
      },
1601
      'entity' => {
1602
        'attributes' => {
1603
          'generics' => [
1604
          ],
1605
          'is_floating_block' => 1,
1606
          'mask' => {
1607
            'Block_Handle' => 385.0009765625,
1608
            'Block_handle' => 385.0009765625,
1609
            'MDL_Handle' => 3.0009765625,
1610
            'MDL_handle' => 3.0009765625,
1611
            'arith_type' => 2,
1612
            'bin_pt' => 0,
1613
            'block_config' => 'sysgen_blockset:fromreg_config',
1614
            'block_handle' => 385.0009765625,
1615
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10',
1616
            'block_type' => 'fromreg',
1617
            'dbl_ovrd' => 0,
1618
            'init' => 0,
1619
            'init_bit_vector' => '0b',
1620
            'mdl_handle' => 3.0009765625,
1621
            'model_handle' => 3.0009765625,
1622
            'n_bits' => 1,
1623
            'ownership' => 2,
1624
            'period' => '5e-009',
1625
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1626
            'shared_memory_name' => 'register04tv',
1627
          },
1628
          'needs_vhdl_wrapper' => 0,
1629
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10',
1630
        },
1631
        'entityName' => 'x_x89',
1632
        'ports' => {
1633
          'data_out' => {
1634
            'attributes' => {
1635
              'bin_pt' => 0,
1636
              'is_floating_block' => 1,
1637
              'must_be_hdl_vector' => 1,
1638
              'period' => 1,
1639
              'port_id' => 0,
1640
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register10/data_out',
1641
              'type' => 'UFix_1_0',
1642
            },
1643
            'direction' => 'out',
1644
            'hdlType' => 'std_logic_vector(0 downto 0)',
1645
            'width' => 1,
1646
          },
1647
        },
1648
      },
1649
      'entityName' => 'x_x89',
1650
    },
1651
    'from_register11' => {
1652
      'connections' => {
1653
        'data_out' => 'data_out_x0_net',
1654
      },
1655
      'entity' => {
1656
        'attributes' => {
1657
          'generics' => [
1658
          ],
1659
          'is_floating_block' => 1,
1660
          'mask' => {
1661
            'Block_Handle' => 386.0009765625,
1662
            'Block_handle' => 386.0009765625,
1663
            'MDL_Handle' => 3.0009765625,
1664
            'MDL_handle' => 3.0009765625,
1665
            'arith_type' => 2,
1666
            'bin_pt' => 0,
1667
            'block_config' => 'sysgen_blockset:fromreg_config',
1668
            'block_handle' => 386.0009765625,
1669
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11',
1670
            'block_type' => 'fromreg',
1671
            'dbl_ovrd' => 0,
1672
            'init' => 0,
1673
            'init_bit_vector' => '00000000000000000000000000000000b',
1674
            'mdl_handle' => 3.0009765625,
1675
            'model_handle' => 3.0009765625,
1676
            'n_bits' => 32,
1677
            'ownership' => 2,
1678
            'period' => '5e-009',
1679
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1680
            'shared_memory_name' => 'register05td',
1681
          },
1682
          'needs_vhdl_wrapper' => 0,
1683
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11',
1684
        },
1685
        'entityName' => 'x_x90',
1686
        'ports' => {
1687
          'data_out' => {
1688
            'attributes' => {
1689
              'bin_pt' => 0,
1690
              'is_floating_block' => 1,
1691
              'must_be_hdl_vector' => 1,
1692
              'period' => 1,
1693
              'port_id' => 0,
1694
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register11/data_out',
1695
              'type' => 'UFix_32_0',
1696
            },
1697
            'direction' => 'out',
1698
            'hdlType' => 'std_logic_vector(31 downto 0)',
1699
            'width' => 32,
1700
          },
1701
        },
1702
      },
1703
      'entityName' => 'x_x90',
1704
    },
1705
    'from_register12' => {
1706
      'connections' => {
1707
        'data_out' => 'data_out_x1_net',
1708
      },
1709
      'entity' => {
1710
        'attributes' => {
1711
          'generics' => [
1712
          ],
1713
          'is_floating_block' => 1,
1714
          'mask' => {
1715
            'Block_Handle' => 387.0009765625,
1716
            'Block_handle' => 387.0009765625,
1717
            'MDL_Handle' => 3.0009765625,
1718
            'MDL_handle' => 3.0009765625,
1719
            'arith_type' => 2,
1720
            'bin_pt' => 0,
1721
            'block_config' => 'sysgen_blockset:fromreg_config',
1722
            'block_handle' => 387.0009765625,
1723
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12',
1724
            'block_type' => 'fromreg',
1725
            'dbl_ovrd' => 0,
1726
            'init' => 0,
1727
            'init_bit_vector' => '0b',
1728
            'mdl_handle' => 3.0009765625,
1729
            'model_handle' => 3.0009765625,
1730
            'n_bits' => 1,
1731
            'ownership' => 2,
1732
            'period' => '5e-009',
1733
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1734
            'shared_memory_name' => 'register05tv',
1735
          },
1736
          'needs_vhdl_wrapper' => 0,
1737
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12',
1738
        },
1739
        'entityName' => 'x_x91',
1740
        'ports' => {
1741
          'data_out' => {
1742
            'attributes' => {
1743
              'bin_pt' => 0,
1744
              'is_floating_block' => 1,
1745
              'must_be_hdl_vector' => 1,
1746
              'period' => 1,
1747
              'port_id' => 0,
1748
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register12/data_out',
1749
              'type' => 'UFix_1_0',
1750
            },
1751
            'direction' => 'out',
1752
            'hdlType' => 'std_logic_vector(0 downto 0)',
1753
            'width' => 1,
1754
          },
1755
        },
1756
      },
1757
      'entityName' => 'x_x91',
1758
    },
1759
    'from_register13' => {
1760
      'connections' => {
1761
        'data_out' => 'data_out_x2_net',
1762
      },
1763
      'entity' => {
1764
        'attributes' => {
1765
          'generics' => [
1766
          ],
1767
          'is_floating_block' => 1,
1768
          'mask' => {
1769
            'Block_Handle' => 388.0009765625,
1770
            'Block_handle' => 388.0009765625,
1771
            'MDL_Handle' => 3.0009765625,
1772
            'MDL_handle' => 3.0009765625,
1773
            'arith_type' => 2,
1774
            'bin_pt' => 0,
1775
            'block_config' => 'sysgen_blockset:fromreg_config',
1776
            'block_handle' => 388.0009765625,
1777
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13',
1778
            'block_type' => 'fromreg',
1779
            'dbl_ovrd' => 0,
1780
            'init' => 0,
1781
            'init_bit_vector' => '00000000000000000000000000000000b',
1782
            'mdl_handle' => 3.0009765625,
1783
            'model_handle' => 3.0009765625,
1784
            'n_bits' => 32,
1785
            'ownership' => 2,
1786
            'period' => '5e-009',
1787
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1788
            'shared_memory_name' => 'register06td',
1789
          },
1790
          'needs_vhdl_wrapper' => 0,
1791
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13',
1792
        },
1793
        'entityName' => 'x_x92',
1794
        'ports' => {
1795
          'data_out' => {
1796
            'attributes' => {
1797
              'bin_pt' => 0,
1798
              'is_floating_block' => 1,
1799
              'must_be_hdl_vector' => 1,
1800
              'period' => 1,
1801
              'port_id' => 0,
1802
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register13/data_out',
1803
              'type' => 'UFix_32_0',
1804
            },
1805
            'direction' => 'out',
1806
            'hdlType' => 'std_logic_vector(31 downto 0)',
1807
            'width' => 32,
1808
          },
1809
        },
1810
      },
1811
      'entityName' => 'x_x92',
1812
    },
1813
    'from_register14' => {
1814
      'connections' => {
1815
        'data_out' => 'data_out_x3_net',
1816
      },
1817
      'entity' => {
1818
        'attributes' => {
1819
          'generics' => [
1820
          ],
1821
          'is_floating_block' => 1,
1822
          'mask' => {
1823
            'Block_Handle' => 389.0009765625,
1824
            'Block_handle' => 389.0009765625,
1825
            'MDL_Handle' => 3.0009765625,
1826
            'MDL_handle' => 3.0009765625,
1827
            'arith_type' => 2,
1828
            'bin_pt' => 0,
1829
            'block_config' => 'sysgen_blockset:fromreg_config',
1830
            'block_handle' => 389.0009765625,
1831
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14',
1832
            'block_type' => 'fromreg',
1833
            'dbl_ovrd' => 0,
1834
            'init' => 0,
1835
            'init_bit_vector' => '0b',
1836
            'mdl_handle' => 3.0009765625,
1837
            'model_handle' => 3.0009765625,
1838
            'n_bits' => 1,
1839
            'ownership' => 2,
1840
            'period' => '5e-009',
1841
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1842
            'shared_memory_name' => 'register06tv',
1843
          },
1844
          'needs_vhdl_wrapper' => 0,
1845
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14',
1846
        },
1847
        'entityName' => 'x_x93',
1848
        'ports' => {
1849
          'data_out' => {
1850
            'attributes' => {
1851
              'bin_pt' => 0,
1852
              'is_floating_block' => 1,
1853
              'must_be_hdl_vector' => 1,
1854
              'period' => 1,
1855
              'port_id' => 0,
1856
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register14/data_out',
1857
              'type' => 'UFix_1_0',
1858
            },
1859
            'direction' => 'out',
1860
            'hdlType' => 'std_logic_vector(0 downto 0)',
1861
            'width' => 1,
1862
          },
1863
        },
1864
      },
1865
      'entityName' => 'x_x93',
1866
    },
1867
    'from_register15' => {
1868
      'connections' => {
1869
        'data_out' => 'from_register15_data_out_net',
1870
      },
1871
      'entity' => {
1872
        'attributes' => {
1873
          'generics' => [
1874
          ],
1875
          'is_floating_block' => 1,
1876
          'mask' => {
1877
            'Block_Handle' => 390.0009765625,
1878
            'Block_handle' => 390.0009765625,
1879
            'MDL_Handle' => 3.0009765625,
1880
            'MDL_handle' => 3.0009765625,
1881
            'arith_type' => 2,
1882
            'bin_pt' => 0,
1883
            'block_config' => 'sysgen_blockset:fromreg_config',
1884
            'block_handle' => 390.0009765625,
1885
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15',
1886
            'block_type' => 'fromreg',
1887
            'dbl_ovrd' => 0,
1888
            'init' => 0,
1889
            'init_bit_vector' => '0b',
1890
            'mdl_handle' => 3.0009765625,
1891
            'model_handle' => 3.0009765625,
1892
            'n_bits' => 1,
1893
            'ownership' => 2,
1894
            'period' => '5e-009',
1895
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1896
            'shared_memory_name' => 'DMA_Host2Board_Done',
1897
          },
1898
          'needs_vhdl_wrapper' => 0,
1899
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15',
1900
        },
1901
        'entityName' => 'x_x94',
1902
        'ports' => {
1903
          'data_out' => {
1904
            'attributes' => {
1905
              'bin_pt' => 0,
1906
              'is_floating_block' => 1,
1907
              'must_be_hdl_vector' => 1,
1908
              'period' => 1,
1909
              'port_id' => 0,
1910
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register15/data_out',
1911
              'type' => 'UFix_1_0',
1912
            },
1913
            'direction' => 'out',
1914
            'hdlType' => 'std_logic_vector(0 downto 0)',
1915
            'width' => 1,
1916
          },
1917
        },
1918
      },
1919
      'entityName' => 'x_x94',
1920
    },
1921
    'from_register16' => {
1922
      'connections' => {
1923
        'data_out' => 'from_register16_data_out_net',
1924
      },
1925
      'entity' => {
1926
        'attributes' => {
1927
          'generics' => [
1928
          ],
1929
          'is_floating_block' => 1,
1930
          'mask' => {
1931
            'Block_Handle' => 391.0009765625,
1932
            'Block_handle' => 391.0009765625,
1933
            'MDL_Handle' => 3.0009765625,
1934
            'MDL_handle' => 3.0009765625,
1935
            'arith_type' => 2,
1936
            'bin_pt' => 0,
1937
            'block_config' => 'sysgen_blockset:fromreg_config',
1938
            'block_handle' => 391.0009765625,
1939
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16',
1940
            'block_type' => 'fromreg',
1941
            'dbl_ovrd' => 0,
1942
            'init' => 0,
1943
            'init_bit_vector' => '0b',
1944
            'mdl_handle' => 3.0009765625,
1945
            'model_handle' => 3.0009765625,
1946
            'n_bits' => 1,
1947
            'ownership' => 2,
1948
            'period' => '5e-009',
1949
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1950
            'shared_memory_name' => 'DMA_Host2Board_Busy',
1951
          },
1952
          'needs_vhdl_wrapper' => 0,
1953
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16',
1954
        },
1955
        'entityName' => 'x_x95',
1956
        'ports' => {
1957
          'data_out' => {
1958
            'attributes' => {
1959
              'bin_pt' => 0,
1960
              'is_floating_block' => 1,
1961
              'must_be_hdl_vector' => 1,
1962
              'period' => 1,
1963
              'port_id' => 0,
1964
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register16/data_out',
1965
              'type' => 'UFix_1_0',
1966
            },
1967
            'direction' => 'out',
1968
            'hdlType' => 'std_logic_vector(0 downto 0)',
1969
            'width' => 1,
1970
          },
1971
        },
1972
      },
1973
      'entityName' => 'x_x95',
1974
    },
1975
    'from_register17' => {
1976
      'connections' => {
1977
        'data_out' => 'data_out_x6_net',
1978
      },
1979
      'entity' => {
1980
        'attributes' => {
1981
          'generics' => [
1982
          ],
1983
          'is_floating_block' => 1,
1984
          'mask' => {
1985
            'Block_Handle' => 392.0009765625,
1986
            'Block_handle' => 392.0009765625,
1987
            'MDL_Handle' => 3.0009765625,
1988
            'MDL_handle' => 3.0009765625,
1989
            'arith_type' => 2,
1990
            'bin_pt' => 0,
1991
            'block_config' => 'sysgen_blockset:fromreg_config',
1992
            'block_handle' => 392.0009765625,
1993
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17',
1994
            'block_type' => 'fromreg',
1995
            'dbl_ovrd' => 0,
1996
            'init' => 0,
1997
            'init_bit_vector' => '00000000000000000000000000000000b',
1998
            'mdl_handle' => 3.0009765625,
1999
            'model_handle' => 3.0009765625,
2000
            'n_bits' => 32,
2001
            'ownership' => 2,
2002
            'period' => '5e-009',
2003
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2004
            'shared_memory_name' => 'register07td',
2005
          },
2006
          'needs_vhdl_wrapper' => 0,
2007
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17',
2008
        },
2009
        'entityName' => 'x_x96',
2010
        'ports' => {
2011
          'data_out' => {
2012
            'attributes' => {
2013
              'bin_pt' => 0,
2014
              'is_floating_block' => 1,
2015
              'must_be_hdl_vector' => 1,
2016
              'period' => 1,
2017
              'port_id' => 0,
2018
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register17/data_out',
2019
              'type' => 'UFix_32_0',
2020
            },
2021
            'direction' => 'out',
2022
            'hdlType' => 'std_logic_vector(31 downto 0)',
2023
            'width' => 32,
2024
          },
2025
        },
2026
      },
2027
      'entityName' => 'x_x96',
2028
    },
2029
    'from_register18' => {
2030
      'connections' => {
2031
        'data_out' => 'data_out_x7_net',
2032
      },
2033
      'entity' => {
2034
        'attributes' => {
2035
          'generics' => [
2036
          ],
2037
          'is_floating_block' => 1,
2038
          'mask' => {
2039
            'Block_Handle' => 393.0009765625,
2040
            'Block_handle' => 393.0009765625,
2041
            'MDL_Handle' => 3.0009765625,
2042
            'MDL_handle' => 3.0009765625,
2043
            'arith_type' => 2,
2044
            'bin_pt' => 0,
2045
            'block_config' => 'sysgen_blockset:fromreg_config',
2046
            'block_handle' => 393.0009765625,
2047
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18',
2048
            'block_type' => 'fromreg',
2049
            'dbl_ovrd' => 0,
2050
            'init' => 0,
2051
            'init_bit_vector' => '0b',
2052
            'mdl_handle' => 3.0009765625,
2053
            'model_handle' => 3.0009765625,
2054
            'n_bits' => 1,
2055
            'ownership' => 2,
2056
            'period' => '5e-009',
2057
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2058
            'shared_memory_name' => 'register07tv',
2059
          },
2060
          'needs_vhdl_wrapper' => 0,
2061
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18',
2062
        },
2063
        'entityName' => 'x_x97',
2064
        'ports' => {
2065
          'data_out' => {
2066
            'attributes' => {
2067
              'bin_pt' => 0,
2068
              'is_floating_block' => 1,
2069
              'must_be_hdl_vector' => 1,
2070
              'period' => 1,
2071
              'port_id' => 0,
2072
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register18/data_out',
2073
              'type' => 'UFix_1_0',
2074
            },
2075
            'direction' => 'out',
2076
            'hdlType' => 'std_logic_vector(0 downto 0)',
2077
            'width' => 1,
2078
          },
2079
        },
2080
      },
2081
      'entityName' => 'x_x97',
2082
    },
2083
    'from_register19' => {
2084
      'connections' => {
2085
        'data_out' => 'from_register19_data_out_net',
2086
      },
2087
      'entity' => {
2088
        'attributes' => {
2089
          'generics' => [
2090
          ],
2091
          'is_floating_block' => 1,
2092
          'mask' => {
2093
            'Block_Handle' => 2526.06408691406,
2094
            'Block_handle' => 2526.06408691406,
2095
            'MDL_Handle' => 3.0009765625,
2096
            'MDL_handle' => 3.0009765625,
2097
            'arith_type' => 2,
2098
            'bin_pt' => 0,
2099
            'block_config' => 'sysgen_blockset:fromreg_config',
2100
            'block_handle' => 2526.06408691406,
2101
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19',
2102
            'block_type' => 'fromreg',
2103
            'dbl_ovrd' => 0,
2104
            'init' => 0,
2105
            'init_bit_vector' => '00000000000000000000000000000000b',
2106
            'mdl_handle' => 3.0009765625,
2107
            'model_handle' => 3.0009765625,
2108
            'n_bits' => 32,
2109
            'ownership' => 2,
2110
            'period' => '5e-009',
2111
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2112
            'shared_memory_name' => 'debug4i',
2113
          },
2114
          'needs_vhdl_wrapper' => 0,
2115
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19',
2116
        },
2117
        'entityName' => 'x_x121',
2118
        'ports' => {
2119
          'data_out' => {
2120
            'attributes' => {
2121
              'bin_pt' => 0,
2122
              'is_floating_block' => 1,
2123
              'must_be_hdl_vector' => 1,
2124
              'period' => 1,
2125
              'port_id' => 0,
2126
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register19/data_out',
2127
              'type' => 'UFix_32_0',
2128
            },
2129
            'direction' => 'out',
2130
            'hdlType' => 'std_logic_vector(31 downto 0)',
2131
            'width' => 32,
2132
          },
2133
        },
2134
      },
2135
      'entityName' => 'x_x121',
2136
    },
2137
    'from_register2' => {
2138
      'connections' => {
2139
        'data_out' => 'from_register2_data_out_net',
2140
      },
2141
      'entity' => {
2142
        'attributes' => {
2143
          'generics' => [
2144
          ],
2145
          'is_floating_block' => 1,
2146
          'mask' => {
2147
            'Block_Handle' => 2527.00158691406,
2148
            'Block_handle' => 2527.00158691406,
2149
            'MDL_Handle' => 3.0009765625,
2150
            'MDL_handle' => 3.0009765625,
2151
            'arith_type' => 2,
2152
            'bin_pt' => 0,
2153
            'block_config' => 'sysgen_blockset:fromreg_config',
2154
            'block_handle' => 2527.00158691406,
2155
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2',
2156
            'block_type' => 'fromreg',
2157
            'dbl_ovrd' => 0,
2158
            'init' => 0,
2159
            'init_bit_vector' => '00000000000000000000000000000000b',
2160
            'mdl_handle' => 3.0009765625,
2161
            'model_handle' => 3.0009765625,
2162
            'n_bits' => 32,
2163
            'ownership' => 2,
2164
            'period' => '5e-009',
2165
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2166
            'shared_memory_name' => 'debug3i',
2167
          },
2168
          'needs_vhdl_wrapper' => 0,
2169
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2',
2170
        },
2171
        'entityName' => 'x_x122',
2172
        'ports' => {
2173
          'data_out' => {
2174
            'attributes' => {
2175
              'bin_pt' => 0,
2176
              'is_floating_block' => 1,
2177
              'must_be_hdl_vector' => 1,
2178
              'period' => 1,
2179
              'port_id' => 0,
2180
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register2/data_out',
2181
              'type' => 'UFix_32_0',
2182
            },
2183
            'direction' => 'out',
2184
            'hdlType' => 'std_logic_vector(31 downto 0)',
2185
            'width' => 32,
2186
          },
2187
        },
2188
      },
2189
      'entityName' => 'x_x122',
2190
    },
2191
    'from_register20' => {
2192
      'connections' => {
2193
        'data_out' => 'data_out_x8_net',
2194
      },
2195
      'entity' => {
2196
        'attributes' => {
2197
          'generics' => [
2198
          ],
2199
          'is_floating_block' => 1,
2200
          'mask' => {
2201
            'Block_Handle' => 396.0009765625,
2202
            'Block_handle' => 396.0009765625,
2203
            'MDL_Handle' => 3.0009765625,
2204
            'MDL_handle' => 3.0009765625,
2205
            'arith_type' => 2,
2206
            'bin_pt' => 0,
2207
            'block_config' => 'sysgen_blockset:fromreg_config',
2208
            'block_handle' => 396.0009765625,
2209
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20',
2210
            'block_type' => 'fromreg',
2211
            'dbl_ovrd' => 0,
2212
            'init' => 0,
2213
            'init_bit_vector' => '00000000000000000000000000000000b',
2214
            'mdl_handle' => 3.0009765625,
2215
            'model_handle' => 3.0009765625,
2216
            'n_bits' => 32,
2217
            'ownership' => 2,
2218
            'period' => '5e-009',
2219
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2220
            'shared_memory_name' => 'register08td',
2221
          },
2222
          'needs_vhdl_wrapper' => 0,
2223
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20',
2224
        },
2225
        'entityName' => 'x_x98',
2226
        'ports' => {
2227
          'data_out' => {
2228
            'attributes' => {
2229
              'bin_pt' => 0,
2230
              'is_floating_block' => 1,
2231
              'must_be_hdl_vector' => 1,
2232
              'period' => 1,
2233
              'port_id' => 0,
2234
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register20/data_out',
2235
              'type' => 'UFix_32_0',
2236
            },
2237
            'direction' => 'out',
2238
            'hdlType' => 'std_logic_vector(31 downto 0)',
2239
            'width' => 32,
2240
          },
2241
        },
2242
      },
2243
      'entityName' => 'x_x98',
2244
    },
2245
    'from_register21' => {
2246
      'connections' => {
2247
        'data_out' => 'data_out_x9_net',
2248
      },
2249
      'entity' => {
2250
        'attributes' => {
2251
          'generics' => [
2252
          ],
2253
          'is_floating_block' => 1,
2254
          'mask' => {
2255
            'Block_Handle' => 397.0009765625,
2256
            'Block_handle' => 397.0009765625,
2257
            'MDL_Handle' => 3.0009765625,
2258
            'MDL_handle' => 3.0009765625,
2259
            'arith_type' => 2,
2260
            'bin_pt' => 0,
2261
            'block_config' => 'sysgen_blockset:fromreg_config',
2262
            'block_handle' => 397.0009765625,
2263
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21',
2264
            'block_type' => 'fromreg',
2265
            'dbl_ovrd' => 0,
2266
            'init' => 0,
2267
            'init_bit_vector' => '0b',
2268
            'mdl_handle' => 3.0009765625,
2269
            'model_handle' => 3.0009765625,
2270
            'n_bits' => 1,
2271
            'ownership' => 2,
2272
            'period' => '5e-009',
2273
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2274
            'shared_memory_name' => 'register08tv',
2275
          },
2276
          'needs_vhdl_wrapper' => 0,
2277
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21',
2278
        },
2279
        'entityName' => 'x_x99',
2280
        'ports' => {
2281
          'data_out' => {
2282
            'attributes' => {
2283
              'bin_pt' => 0,
2284
              'is_floating_block' => 1,
2285
              'must_be_hdl_vector' => 1,
2286
              'period' => 1,
2287
              'port_id' => 0,
2288
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register21/data_out',
2289
              'type' => 'UFix_1_0',
2290
            },
2291
            'direction' => 'out',
2292
            'hdlType' => 'std_logic_vector(0 downto 0)',
2293
            'width' => 1,
2294
          },
2295
        },
2296
      },
2297
      'entityName' => 'x_x99',
2298
    },
2299
    'from_register22' => {
2300
      'connections' => {
2301
        'data_out' => 'data_out_x10_net',
2302
      },
2303
      'entity' => {
2304
        'attributes' => {
2305
          'generics' => [
2306
          ],
2307
          'is_floating_block' => 1,
2308
          'mask' => {
2309
            'Block_Handle' => 398.0009765625,
2310
            'Block_handle' => 398.0009765625,
2311
            'MDL_Handle' => 3.0009765625,
2312
            'MDL_handle' => 3.0009765625,
2313
            'arith_type' => 2,
2314
            'bin_pt' => 0,
2315
            'block_config' => 'sysgen_blockset:fromreg_config',
2316
            'block_handle' => 398.0009765625,
2317
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22',
2318
            'block_type' => 'fromreg',
2319
            'dbl_ovrd' => 0,
2320
            'init' => 0,
2321
            'init_bit_vector' => '00000000000000000000000000000000b',
2322
            'mdl_handle' => 3.0009765625,
2323
            'model_handle' => 3.0009765625,
2324
            'n_bits' => 32,
2325
            'ownership' => 2,
2326
            'period' => '5e-009',
2327
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2328
            'shared_memory_name' => 'register09td',
2329
          },
2330
          'needs_vhdl_wrapper' => 0,
2331
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22',
2332
        },
2333
        'entityName' => 'x_x100',
2334
        'ports' => {
2335
          'data_out' => {
2336
            'attributes' => {
2337
              'bin_pt' => 0,
2338
              'is_floating_block' => 1,
2339
              'must_be_hdl_vector' => 1,
2340
              'period' => 1,
2341
              'port_id' => 0,
2342
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register22/data_out',
2343
              'type' => 'UFix_32_0',
2344
            },
2345
            'direction' => 'out',
2346
            'hdlType' => 'std_logic_vector(31 downto 0)',
2347
            'width' => 32,
2348
          },
2349
        },
2350
      },
2351
      'entityName' => 'x_x100',
2352
    },
2353
    'from_register23' => {
2354
      'connections' => {
2355
        'data_out' => 'data_out_x11_net',
2356
      },
2357
      'entity' => {
2358
        'attributes' => {
2359
          'generics' => [
2360
          ],
2361
          'is_floating_block' => 1,
2362
          'mask' => {
2363
            'Block_Handle' => 399.0009765625,
2364
            'Block_handle' => 399.0009765625,
2365
            'MDL_Handle' => 3.0009765625,
2366
            'MDL_handle' => 3.0009765625,
2367
            'arith_type' => 2,
2368
            'bin_pt' => 0,
2369
            'block_config' => 'sysgen_blockset:fromreg_config',
2370
            'block_handle' => 399.0009765625,
2371
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23',
2372
            'block_type' => 'fromreg',
2373
            'dbl_ovrd' => 0,
2374
            'init' => 0,
2375
            'init_bit_vector' => '0b',
2376
            'mdl_handle' => 3.0009765625,
2377
            'model_handle' => 3.0009765625,
2378
            'n_bits' => 1,
2379
            'ownership' => 2,
2380
            'period' => '5e-009',
2381
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2382
            'shared_memory_name' => 'register09tv',
2383
          },
2384
          'needs_vhdl_wrapper' => 0,
2385
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23',
2386
        },
2387
        'entityName' => 'x_x101',
2388
        'ports' => {
2389
          'data_out' => {
2390
            'attributes' => {
2391
              'bin_pt' => 0,
2392
              'is_floating_block' => 1,
2393
              'must_be_hdl_vector' => 1,
2394
              'period' => 1,
2395
              'port_id' => 0,
2396
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register23/data_out',
2397
              'type' => 'UFix_1_0',
2398
            },
2399
            'direction' => 'out',
2400
            'hdlType' => 'std_logic_vector(0 downto 0)',
2401
            'width' => 1,
2402
          },
2403
        },
2404
      },
2405
      'entityName' => 'x_x101',
2406
    },
2407
    'from_register24' => {
2408
      'connections' => {
2409
        'data_out' => 'data_out_x12_net',
2410
      },
2411
      'entity' => {
2412
        'attributes' => {
2413
          'generics' => [
2414
          ],
2415
          'is_floating_block' => 1,
2416
          'mask' => {
2417
            'Block_Handle' => 400.0009765625,
2418
            'Block_handle' => 400.0009765625,
2419
            'MDL_Handle' => 3.0009765625,
2420
            'MDL_handle' => 3.0009765625,
2421
            'arith_type' => 2,
2422
            'bin_pt' => 0,
2423
            'block_config' => 'sysgen_blockset:fromreg_config',
2424
            'block_handle' => 400.0009765625,
2425
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24',
2426
            'block_type' => 'fromreg',
2427
            'dbl_ovrd' => 0,
2428
            'init' => 0,
2429
            'init_bit_vector' => '00000000000000000000000000000000b',
2430
            'mdl_handle' => 3.0009765625,
2431
            'model_handle' => 3.0009765625,
2432
            'n_bits' => 32,
2433
            'ownership' => 2,
2434
            'period' => '5e-009',
2435
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2436
            'shared_memory_name' => 'register10td',
2437
          },
2438
          'needs_vhdl_wrapper' => 0,
2439
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24',
2440
        },
2441
        'entityName' => 'x_x102',
2442
        'ports' => {
2443
          'data_out' => {
2444
            'attributes' => {
2445
              'bin_pt' => 0,
2446
              'is_floating_block' => 1,
2447
              'must_be_hdl_vector' => 1,
2448
              'period' => 1,
2449
              'port_id' => 0,
2450
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register24/data_out',
2451
              'type' => 'UFix_32_0',
2452
            },
2453
            'direction' => 'out',
2454
            'hdlType' => 'std_logic_vector(31 downto 0)',
2455
            'width' => 32,
2456
          },
2457
        },
2458
      },
2459
      'entityName' => 'x_x102',
2460
    },
2461
    'from_register25' => {
2462
      'connections' => {
2463
        'data_out' => 'data_out_x13_net',
2464
      },
2465
      'entity' => {
2466
        'attributes' => {
2467
          'generics' => [
2468
          ],
2469
          'is_floating_block' => 1,
2470
          'mask' => {
2471
            'Block_Handle' => 401.0009765625,
2472
            'Block_handle' => 401.0009765625,
2473
            'MDL_Handle' => 3.0009765625,
2474
            'MDL_handle' => 3.0009765625,
2475
            'arith_type' => 2,
2476
            'bin_pt' => 0,
2477
            'block_config' => 'sysgen_blockset:fromreg_config',
2478
            'block_handle' => 401.0009765625,
2479
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25',
2480
            'block_type' => 'fromreg',
2481
            'dbl_ovrd' => 0,
2482
            'init' => 0,
2483
            'init_bit_vector' => '0b',
2484
            'mdl_handle' => 3.0009765625,
2485
            'model_handle' => 3.0009765625,
2486
            'n_bits' => 1,
2487
            'ownership' => 2,
2488
            'period' => '5e-009',
2489
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2490
            'shared_memory_name' => 'register10tv',
2491
          },
2492
          'needs_vhdl_wrapper' => 0,
2493
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25',
2494
        },
2495
        'entityName' => 'x_x103',
2496
        'ports' => {
2497
          'data_out' => {
2498
            'attributes' => {
2499
              'bin_pt' => 0,
2500
              'is_floating_block' => 1,
2501
              'must_be_hdl_vector' => 1,
2502
              'period' => 1,
2503
              'port_id' => 0,
2504
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register25/data_out',
2505
              'type' => 'UFix_1_0',
2506
            },
2507
            'direction' => 'out',
2508
            'hdlType' => 'std_logic_vector(0 downto 0)',
2509
            'width' => 1,
2510
          },
2511
        },
2512
      },
2513
      'entityName' => 'x_x103',
2514
    },
2515
    'from_register26' => {
2516
      'connections' => {
2517
        'data_out' => 'data_out_x14_net',
2518
      },
2519
      'entity' => {
2520
        'attributes' => {
2521
          'generics' => [
2522
          ],
2523
          'is_floating_block' => 1,
2524
          'mask' => {
2525
            'Block_Handle' => 402.0009765625,
2526
            'Block_handle' => 402.0009765625,
2527
            'MDL_Handle' => 3.0009765625,
2528
            'MDL_handle' => 3.0009765625,
2529
            'arith_type' => 2,
2530
            'bin_pt' => 0,
2531
            'block_config' => 'sysgen_blockset:fromreg_config',
2532
            'block_handle' => 402.0009765625,
2533
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26',
2534
            'block_type' => 'fromreg',
2535
            'dbl_ovrd' => 0,
2536
            'init' => 0,
2537
            'init_bit_vector' => '00000000000000000000000000000000b',
2538
            'mdl_handle' => 3.0009765625,
2539
            'model_handle' => 3.0009765625,
2540
            'n_bits' => 32,
2541
            'ownership' => 2,
2542
            'period' => '5e-009',
2543
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2544
            'shared_memory_name' => 'register11td',
2545
          },
2546
          'needs_vhdl_wrapper' => 0,
2547
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26',
2548
        },
2549
        'entityName' => 'x_x104',
2550
        'ports' => {
2551
          'data_out' => {
2552
            'attributes' => {
2553
              'bin_pt' => 0,
2554
              'is_floating_block' => 1,
2555
              'must_be_hdl_vector' => 1,
2556
              'period' => 1,
2557
              'port_id' => 0,
2558
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register26/data_out',
2559
              'type' => 'UFix_32_0',
2560
            },
2561
            'direction' => 'out',
2562
            'hdlType' => 'std_logic_vector(31 downto 0)',
2563
            'width' => 32,
2564
          },
2565
        },
2566
      },
2567
      'entityName' => 'x_x104',
2568
    },
2569
    'from_register27' => {
2570
      'connections' => {
2571
        'data_out' => 'data_out_x15_net',
2572
      },
2573
      'entity' => {
2574
        'attributes' => {
2575
          'generics' => [
2576
          ],
2577
          'is_floating_block' => 1,
2578
          'mask' => {
2579
            'Block_Handle' => 403.0009765625,
2580
            'Block_handle' => 403.0009765625,
2581
            'MDL_Handle' => 3.0009765625,
2582
            'MDL_handle' => 3.0009765625,
2583
            'arith_type' => 2,
2584
            'bin_pt' => 0,
2585
            'block_config' => 'sysgen_blockset:fromreg_config',
2586
            'block_handle' => 403.0009765625,
2587
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27',
2588
            'block_type' => 'fromreg',
2589
            'dbl_ovrd' => 0,
2590
            'init' => 0,
2591
            'init_bit_vector' => '0b',
2592
            'mdl_handle' => 3.0009765625,
2593
            'model_handle' => 3.0009765625,
2594
            'n_bits' => 1,
2595
            'ownership' => 2,
2596
            'period' => '5e-009',
2597
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2598
            'shared_memory_name' => 'register11tv',
2599
          },
2600
          'needs_vhdl_wrapper' => 0,
2601
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27',
2602
        },
2603
        'entityName' => 'x_x105',
2604
        'ports' => {
2605
          'data_out' => {
2606
            'attributes' => {
2607
              'bin_pt' => 0,
2608
              'is_floating_block' => 1,
2609
              'must_be_hdl_vector' => 1,
2610
              'period' => 1,
2611
              'port_id' => 0,
2612
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register27/data_out',
2613
              'type' => 'UFix_1_0',
2614
            },
2615
            'direction' => 'out',
2616
            'hdlType' => 'std_logic_vector(0 downto 0)',
2617
            'width' => 1,
2618
          },
2619
        },
2620
      },
2621
      'entityName' => 'x_x105',
2622
    },
2623
    'from_register28' => {
2624
      'connections' => {
2625
        'data_out' => 'data_out_x16_net',
2626
      },
2627
      'entity' => {
2628
        'attributes' => {
2629
          'generics' => [
2630
          ],
2631
          'is_floating_block' => 1,
2632
          'mask' => {
2633
            'Block_Handle' => 404.0009765625,
2634
            'Block_handle' => 404.0009765625,
2635
            'MDL_Handle' => 3.0009765625,
2636
            'MDL_handle' => 3.0009765625,
2637
            'arith_type' => 2,
2638
            'bin_pt' => 0,
2639
            'block_config' => 'sysgen_blockset:fromreg_config',
2640
            'block_handle' => 404.0009765625,
2641
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28',
2642
            'block_type' => 'fromreg',
2643
            'dbl_ovrd' => 0,
2644
            'init' => 0,
2645
            'init_bit_vector' => '00000000000000000000000000000000b',
2646
            'mdl_handle' => 3.0009765625,
2647
            'model_handle' => 3.0009765625,
2648
            'n_bits' => 32,
2649
            'ownership' => 2,
2650
            'period' => '5e-009',
2651
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2652
            'shared_memory_name' => 'register12td',
2653
          },
2654
          'needs_vhdl_wrapper' => 0,
2655
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28',
2656
        },
2657
        'entityName' => 'x_x106',
2658
        'ports' => {
2659
          'data_out' => {
2660
            'attributes' => {
2661
              'bin_pt' => 0,
2662
              'is_floating_block' => 1,
2663
              'must_be_hdl_vector' => 1,
2664
              'period' => 1,
2665
              'port_id' => 0,
2666
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register28/data_out',
2667
              'type' => 'UFix_32_0',
2668
            },
2669
            'direction' => 'out',
2670
            'hdlType' => 'std_logic_vector(31 downto 0)',
2671
            'width' => 32,
2672
          },
2673
        },
2674
      },
2675
      'entityName' => 'x_x106',
2676
    },
2677
    'from_register29' => {
2678
      'connections' => {
2679
        'data_out' => 'data_out_x17_net',
2680
      },
2681
      'entity' => {
2682
        'attributes' => {
2683
          'generics' => [
2684
          ],
2685
          'is_floating_block' => 1,
2686
          'mask' => {
2687
            'Block_Handle' => 405.0009765625,
2688
            'Block_handle' => 405.0009765625,
2689
            'MDL_Handle' => 3.0009765625,
2690
            'MDL_handle' => 3.0009765625,
2691
            'arith_type' => 2,
2692
            'bin_pt' => 0,
2693
            'block_config' => 'sysgen_blockset:fromreg_config',
2694
            'block_handle' => 405.0009765625,
2695
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29',
2696
            'block_type' => 'fromreg',
2697
            'dbl_ovrd' => 0,
2698
            'init' => 0,
2699
            'init_bit_vector' => '0b',
2700
            'mdl_handle' => 3.0009765625,
2701
            'model_handle' => 3.0009765625,
2702
            'n_bits' => 1,
2703
            'ownership' => 2,
2704
            'period' => '5e-009',
2705
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2706
            'shared_memory_name' => 'register12tv',
2707
          },
2708
          'needs_vhdl_wrapper' => 0,
2709
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29',
2710
        },
2711
        'entityName' => 'x_x107',
2712
        'ports' => {
2713
          'data_out' => {
2714
            'attributes' => {
2715
              'bin_pt' => 0,
2716
              'is_floating_block' => 1,
2717
              'must_be_hdl_vector' => 1,
2718
              'period' => 1,
2719
              'port_id' => 0,
2720
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register29/data_out',
2721
              'type' => 'UFix_1_0',
2722
            },
2723
            'direction' => 'out',
2724
            'hdlType' => 'std_logic_vector(0 downto 0)',
2725
            'width' => 1,
2726
          },
2727
        },
2728
      },
2729
      'entityName' => 'x_x107',
2730
    },
2731
    'from_register3' => {
2732
      'connections' => {
2733
        'data_out' => 'data_out_x18_net',
2734
      },
2735
      'entity' => {
2736
        'attributes' => {
2737
          'generics' => [
2738
          ],
2739
          'is_floating_block' => 1,
2740
          'mask' => {
2741
            'Block_Handle' => 406.0009765625,
2742
            'Block_handle' => 406.0009765625,
2743
            'MDL_Handle' => 3.0009765625,
2744
            'MDL_handle' => 3.0009765625,
2745
            'arith_type' => 2,
2746
            'bin_pt' => 0,
2747
            'block_config' => 'sysgen_blockset:fromreg_config',
2748
            'block_handle' => 406.0009765625,
2749
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3',
2750
            'block_type' => 'fromreg',
2751
            'dbl_ovrd' => 0,
2752
            'init' => 0,
2753
            'init_bit_vector' => '00000000000000000000000000000000b',
2754
            'mdl_handle' => 3.0009765625,
2755
            'model_handle' => 3.0009765625,
2756
            'n_bits' => 32,
2757
            'ownership' => 2,
2758
            'period' => '5e-009',
2759
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2760
            'shared_memory_name' => 'register01td',
2761
          },
2762
          'needs_vhdl_wrapper' => 0,
2763
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3',
2764
        },
2765
        'entityName' => 'x_x108',
2766
        'ports' => {
2767
          'data_out' => {
2768
            'attributes' => {
2769
              'bin_pt' => 0,
2770
              'is_floating_block' => 1,
2771
              'must_be_hdl_vector' => 1,
2772
              'period' => 1,
2773
              'port_id' => 0,
2774
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register3/data_out',
2775
              'type' => 'UFix_32_0',
2776
            },
2777
            'direction' => 'out',
2778
            'hdlType' => 'std_logic_vector(31 downto 0)',
2779
            'width' => 32,
2780
          },
2781
        },
2782
      },
2783
      'entityName' => 'x_x108',
2784
    },
2785
    'from_register30' => {
2786
      'connections' => {
2787
        'data_out' => 'data_out_x19_net',
2788
      },
2789
      'entity' => {
2790
        'attributes' => {
2791
          'generics' => [
2792
          ],
2793
          'is_floating_block' => 1,
2794
          'mask' => {
2795
            'Block_Handle' => 407.0009765625,
2796
            'Block_handle' => 407.0009765625,
2797
            'MDL_Handle' => 3.0009765625,
2798
            'MDL_handle' => 3.0009765625,
2799
            'arith_type' => 2,
2800
            'bin_pt' => 0,
2801
            'block_config' => 'sysgen_blockset:fromreg_config',
2802
            'block_handle' => 407.0009765625,
2803
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30',
2804
            'block_type' => 'fromreg',
2805
            'dbl_ovrd' => 0,
2806
            'init' => 0,
2807
            'init_bit_vector' => '00000000000000000000000000000000b',
2808
            'mdl_handle' => 3.0009765625,
2809
            'model_handle' => 3.0009765625,
2810
            'n_bits' => 32,
2811
            'ownership' => 2,
2812
            'period' => '5e-009',
2813
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2814
            'shared_memory_name' => 'register13td',
2815
          },
2816
          'needs_vhdl_wrapper' => 0,
2817
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30',
2818
        },
2819
        'entityName' => 'x_x109',
2820
        'ports' => {
2821
          'data_out' => {
2822
            'attributes' => {
2823
              'bin_pt' => 0,
2824
              'is_floating_block' => 1,
2825
              'must_be_hdl_vector' => 1,
2826
              'period' => 1,
2827
              'port_id' => 0,
2828
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register30/data_out',
2829
              'type' => 'UFix_32_0',
2830
            },
2831
            'direction' => 'out',
2832
            'hdlType' => 'std_logic_vector(31 downto 0)',
2833
            'width' => 32,
2834
          },
2835
        },
2836
      },
2837
      'entityName' => 'x_x109',
2838
    },
2839
    'from_register31' => {
2840
      'connections' => {
2841
        'data_out' => 'data_out_x20_net',
2842
      },
2843
      'entity' => {
2844
        'attributes' => {
2845
          'generics' => [
2846
          ],
2847
          'is_floating_block' => 1,
2848
          'mask' => {
2849
            'Block_Handle' => 408.0009765625,
2850
            'Block_handle' => 408.0009765625,
2851
            'MDL_Handle' => 3.0009765625,
2852
            'MDL_handle' => 3.0009765625,
2853
            'arith_type' => 2,
2854
            'bin_pt' => 0,
2855
            'block_config' => 'sysgen_blockset:fromreg_config',
2856
            'block_handle' => 408.0009765625,
2857
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31',
2858
            'block_type' => 'fromreg',
2859
            'dbl_ovrd' => 0,
2860
            'init' => 0,
2861
            'init_bit_vector' => '0b',
2862
            'mdl_handle' => 3.0009765625,
2863
            'model_handle' => 3.0009765625,
2864
            'n_bits' => 1,
2865
            'ownership' => 2,
2866
            'period' => '5e-009',
2867
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2868
            'shared_memory_name' => 'register13tv',
2869
          },
2870
          'needs_vhdl_wrapper' => 0,
2871
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31',
2872
        },
2873
        'entityName' => 'x_x110',
2874
        'ports' => {
2875
          'data_out' => {
2876
            'attributes' => {
2877
              'bin_pt' => 0,
2878
              'is_floating_block' => 1,
2879
              'must_be_hdl_vector' => 1,
2880
              'period' => 1,
2881
              'port_id' => 0,
2882
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register31/data_out',
2883
              'type' => 'UFix_1_0',
2884
            },
2885
            'direction' => 'out',
2886
            'hdlType' => 'std_logic_vector(0 downto 0)',
2887
            'width' => 1,
2888
          },
2889
        },
2890
      },
2891
      'entityName' => 'x_x110',
2892
    },
2893
    'from_register32' => {
2894
      'connections' => {
2895
        'data_out' => 'data_out_x21_net',
2896
      },
2897
      'entity' => {
2898
        'attributes' => {
2899
          'generics' => [
2900
          ],
2901
          'is_floating_block' => 1,
2902
          'mask' => {
2903
            'Block_Handle' => 409.0009765625,
2904
            'Block_handle' => 409.0009765625,
2905
            'MDL_Handle' => 3.0009765625,
2906
            'MDL_handle' => 3.0009765625,
2907
            'arith_type' => 2,
2908
            'bin_pt' => 0,
2909
            'block_config' => 'sysgen_blockset:fromreg_config',
2910
            'block_handle' => 409.0009765625,
2911
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32',
2912
            'block_type' => 'fromreg',
2913
            'dbl_ovrd' => 0,
2914
            'init' => 0,
2915
            'init_bit_vector' => '00000000000000000000000000000000b',
2916
            'mdl_handle' => 3.0009765625,
2917
            'model_handle' => 3.0009765625,
2918
            'n_bits' => 32,
2919
            'ownership' => 2,
2920
            'period' => '5e-009',
2921
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2922
            'shared_memory_name' => 'register14td',
2923
          },
2924
          'needs_vhdl_wrapper' => 0,
2925
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32',
2926
        },
2927
        'entityName' => 'x_x111',
2928
        'ports' => {
2929
          'data_out' => {
2930
            'attributes' => {
2931
              'bin_pt' => 0,
2932
              'is_floating_block' => 1,
2933
              'must_be_hdl_vector' => 1,
2934
              'period' => 1,
2935
              'port_id' => 0,
2936
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register32/data_out',
2937
              'type' => 'UFix_32_0',
2938
            },
2939
            'direction' => 'out',
2940
            'hdlType' => 'std_logic_vector(31 downto 0)',
2941
            'width' => 32,
2942
          },
2943
        },
2944
      },
2945
      'entityName' => 'x_x111',
2946
    },
2947
    'from_register33' => {
2948
      'connections' => {
2949
        'data_out' => 'data_out_x22_net',
2950
      },
2951
      'entity' => {
2952
        'attributes' => {
2953
          'generics' => [
2954
          ],
2955
          'is_floating_block' => 1,
2956
          'mask' => {
2957
            'Block_Handle' => 410.0009765625,
2958
            'Block_handle' => 410.0009765625,
2959
            'MDL_Handle' => 3.0009765625,
2960
            'MDL_handle' => 3.0009765625,
2961
            'arith_type' => 2,
2962
            'bin_pt' => 0,
2963
            'block_config' => 'sysgen_blockset:fromreg_config',
2964
            'block_handle' => 410.0009765625,
2965
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33',
2966
            'block_type' => 'fromreg',
2967
            'dbl_ovrd' => 0,
2968
            'init' => 0,
2969
            'init_bit_vector' => '0b',
2970
            'mdl_handle' => 3.0009765625,
2971
            'model_handle' => 3.0009765625,
2972
            'n_bits' => 1,
2973
            'ownership' => 2,
2974
            'period' => '5e-009',
2975
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2976
            'shared_memory_name' => 'register14tv',
2977
          },
2978
          'needs_vhdl_wrapper' => 0,
2979
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33',
2980
        },
2981
        'entityName' => 'x_x112',
2982
        'ports' => {
2983
          'data_out' => {
2984
            'attributes' => {
2985
              'bin_pt' => 0,
2986
              'is_floating_block' => 1,
2987
              'must_be_hdl_vector' => 1,
2988
              'period' => 1,
2989
              'port_id' => 0,
2990
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register33/data_out',
2991
              'type' => 'UFix_1_0',
2992
            },
2993
            'direction' => 'out',
2994
            'hdlType' => 'std_logic_vector(0 downto 0)',
2995
            'width' => 1,
2996
          },
2997
        },
2998
      },
2999
      'entityName' => 'x_x112',
3000
    },
3001
    'from_register4' => {
3002
      'connections' => {
3003
        'data_out' => 'data_out_x23_net',
3004
      },
3005
      'entity' => {
3006
        'attributes' => {
3007
          'generics' => [
3008
          ],
3009
          'is_floating_block' => 1,
3010
          'mask' => {
3011
            'Block_Handle' => 411.0009765625,
3012
            'Block_handle' => 411.0009765625,
3013
            'MDL_Handle' => 3.0009765625,
3014
            'MDL_handle' => 3.0009765625,
3015
            'arith_type' => 2,
3016
            'bin_pt' => 0,
3017
            'block_config' => 'sysgen_blockset:fromreg_config',
3018
            'block_handle' => 411.0009765625,
3019
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4',
3020
            'block_type' => 'fromreg',
3021
            'dbl_ovrd' => 0,
3022
            'init' => 0,
3023
            'init_bit_vector' => '0b',
3024
            'mdl_handle' => 3.0009765625,
3025
            'model_handle' => 3.0009765625,
3026
            'n_bits' => 1,
3027
            'ownership' => 2,
3028
            'period' => '5e-009',
3029
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3030
            'shared_memory_name' => 'register01tv',
3031
          },
3032
          'needs_vhdl_wrapper' => 0,
3033
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4',
3034
        },
3035
        'entityName' => 'x_x113',
3036
        'ports' => {
3037
          'data_out' => {
3038
            'attributes' => {
3039
              'bin_pt' => 0,
3040
              'is_floating_block' => 1,
3041
              'must_be_hdl_vector' => 1,
3042
              'period' => 1,
3043
              'port_id' => 0,
3044
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register4/data_out',
3045
              'type' => 'UFix_1_0',
3046
            },
3047
            'direction' => 'out',
3048
            'hdlType' => 'std_logic_vector(0 downto 0)',
3049
            'width' => 1,
3050
          },
3051
        },
3052
      },
3053
      'entityName' => 'x_x113',
3054
    },
3055
    'from_register5' => {
3056
      'connections' => {
3057
        'data_out' => 'data_out_x24_net',
3058
      },
3059
      'entity' => {
3060
        'attributes' => {
3061
          'generics' => [
3062
          ],
3063
          'is_floating_block' => 1,
3064
          'mask' => {
3065
            'Block_Handle' => 412.0009765625,
3066
            'Block_handle' => 412.0009765625,
3067
            'MDL_Handle' => 3.0009765625,
3068
            'MDL_handle' => 3.0009765625,
3069
            'arith_type' => 2,
3070
            'bin_pt' => 0,
3071
            'block_config' => 'sysgen_blockset:fromreg_config',
3072
            'block_handle' => 412.0009765625,
3073
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5',
3074
            'block_type' => 'fromreg',
3075
            'dbl_ovrd' => 0,
3076
            'init' => 0,
3077
            'init_bit_vector' => '00000000000000000000000000000000b',
3078
            'mdl_handle' => 3.0009765625,
3079
            'model_handle' => 3.0009765625,
3080
            'n_bits' => 32,
3081
            'ownership' => 2,
3082
            'period' => '5e-009',
3083
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3084
            'shared_memory_name' => 'register02td',
3085
          },
3086
          'needs_vhdl_wrapper' => 0,
3087
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5',
3088
        },
3089
        'entityName' => 'x_x114',
3090
        'ports' => {
3091
          'data_out' => {
3092
            'attributes' => {
3093
              'bin_pt' => 0,
3094
              'is_floating_block' => 1,
3095
              'must_be_hdl_vector' => 1,
3096
              'period' => 1,
3097
              'port_id' => 0,
3098
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register5/data_out',
3099
              'type' => 'UFix_32_0',
3100
            },
3101
            'direction' => 'out',
3102
            'hdlType' => 'std_logic_vector(31 downto 0)',
3103
            'width' => 32,
3104
          },
3105
        },
3106
      },
3107
      'entityName' => 'x_x114',
3108
    },
3109
    'from_register6' => {
3110
      'connections' => {
3111
        'data_out' => 'data_out_x25_net',
3112
      },
3113
      'entity' => {
3114
        'attributes' => {
3115
          'generics' => [
3116
          ],
3117
          'is_floating_block' => 1,
3118
          'mask' => {
3119
            'Block_Handle' => 413.0009765625,
3120
            'Block_handle' => 413.0009765625,
3121
            'MDL_Handle' => 3.0009765625,
3122
            'MDL_handle' => 3.0009765625,
3123
            'arith_type' => 2,
3124
            'bin_pt' => 0,
3125
            'block_config' => 'sysgen_blockset:fromreg_config',
3126
            'block_handle' => 413.0009765625,
3127
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6',
3128
            'block_type' => 'fromreg',
3129
            'dbl_ovrd' => 0,
3130
            'init' => 0,
3131
            'init_bit_vector' => '0b',
3132
            'mdl_handle' => 3.0009765625,
3133
            'model_handle' => 3.0009765625,
3134
            'n_bits' => 1,
3135
            'ownership' => 2,
3136
            'period' => '5e-009',
3137
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3138
            'shared_memory_name' => 'register02tv',
3139
          },
3140
          'needs_vhdl_wrapper' => 0,
3141
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6',
3142
        },
3143
        'entityName' => 'x_x115',
3144
        'ports' => {
3145
          'data_out' => {
3146
            'attributes' => {
3147
              'bin_pt' => 0,
3148
              'is_floating_block' => 1,
3149
              'must_be_hdl_vector' => 1,
3150
              'period' => 1,
3151
              'port_id' => 0,
3152
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register6/data_out',
3153
              'type' => 'UFix_1_0',
3154
            },
3155
            'direction' => 'out',
3156
            'hdlType' => 'std_logic_vector(0 downto 0)',
3157
            'width' => 1,
3158
          },
3159
        },
3160
      },
3161
      'entityName' => 'x_x115',
3162
    },
3163
    'from_register7' => {
3164
      'connections' => {
3165
        'data_out' => 'data_out_x26_net',
3166
      },
3167
      'entity' => {
3168
        'attributes' => {
3169
          'generics' => [
3170
          ],
3171
          'is_floating_block' => 1,
3172
          'mask' => {
3173
            'Block_Handle' => 414.0009765625,
3174
            'Block_handle' => 414.0009765625,
3175
            'MDL_Handle' => 3.0009765625,
3176
            'MDL_handle' => 3.0009765625,
3177
            'arith_type' => 2,
3178
            'bin_pt' => 0,
3179
            'block_config' => 'sysgen_blockset:fromreg_config',
3180
            'block_handle' => 414.0009765625,
3181
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7',
3182
            'block_type' => 'fromreg',
3183
            'dbl_ovrd' => 0,
3184
            'init' => 0,
3185
            'init_bit_vector' => '00000000000000000000000000000000b',
3186
            'mdl_handle' => 3.0009765625,
3187
            'model_handle' => 3.0009765625,
3188
            'n_bits' => 32,
3189
            'ownership' => 2,
3190
            'period' => '5e-009',
3191
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3192
            'shared_memory_name' => 'register03td',
3193
          },
3194
          'needs_vhdl_wrapper' => 0,
3195
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7',
3196
        },
3197
        'entityName' => 'x_x116',
3198
        'ports' => {
3199
          'data_out' => {
3200
            'attributes' => {
3201
              'bin_pt' => 0,
3202
              'is_floating_block' => 1,
3203
              'must_be_hdl_vector' => 1,
3204
              'period' => 1,
3205
              'port_id' => 0,
3206
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register7/data_out',
3207
              'type' => 'UFix_32_0',
3208
            },
3209
            'direction' => 'out',
3210
            'hdlType' => 'std_logic_vector(31 downto 0)',
3211
            'width' => 32,
3212
          },
3213
        },
3214
      },
3215
      'entityName' => 'x_x116',
3216
    },
3217
    'from_register8' => {
3218
      'connections' => {
3219
        'data_out' => 'data_out_x27_net',
3220
      },
3221
      'entity' => {
3222
        'attributes' => {
3223
          'generics' => [
3224
          ],
3225
          'is_floating_block' => 1,
3226
          'mask' => {
3227
            'Block_Handle' => 415.0009765625,
3228
            'Block_handle' => 415.0009765625,
3229
            'MDL_Handle' => 3.0009765625,
3230
            'MDL_handle' => 3.0009765625,
3231
            'arith_type' => 2,
3232
            'bin_pt' => 0,
3233
            'block_config' => 'sysgen_blockset:fromreg_config',
3234
            'block_handle' => 415.0009765625,
3235
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8',
3236
            'block_type' => 'fromreg',
3237
            'dbl_ovrd' => 0,
3238
            'init' => 0,
3239
            'init_bit_vector' => '0b',
3240
            'mdl_handle' => 3.0009765625,
3241
            'model_handle' => 3.0009765625,
3242
            'n_bits' => 1,
3243
            'ownership' => 2,
3244
            'period' => '5e-009',
3245
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3246
            'shared_memory_name' => 'register03tv',
3247
          },
3248
          'needs_vhdl_wrapper' => 0,
3249
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8',
3250
        },
3251
        'entityName' => 'x_x117',
3252
        'ports' => {
3253
          'data_out' => {
3254
            'attributes' => {
3255
              'bin_pt' => 0,
3256
              'is_floating_block' => 1,
3257
              'must_be_hdl_vector' => 1,
3258
              'period' => 1,
3259
              'port_id' => 0,
3260
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register8/data_out',
3261
              'type' => 'UFix_1_0',
3262
            },
3263
            'direction' => 'out',
3264
            'hdlType' => 'std_logic_vector(0 downto 0)',
3265
            'width' => 1,
3266
          },
3267
        },
3268
      },
3269
      'entityName' => 'x_x117',
3270
    },
3271
    'from_register9' => {
3272
      'connections' => {
3273
        'data_out' => 'data_out_x28_net',
3274
      },
3275
      'entity' => {
3276
        'attributes' => {
3277
          'generics' => [
3278
          ],
3279
          'is_floating_block' => 1,
3280
          'mask' => {
3281
            'Block_Handle' => 416.0009765625,
3282
            'Block_handle' => 416.0009765625,
3283
            'MDL_Handle' => 3.0009765625,
3284
            'MDL_handle' => 3.0009765625,
3285
            'arith_type' => 2,
3286
            'bin_pt' => 0,
3287
            'block_config' => 'sysgen_blockset:fromreg_config',
3288
            'block_handle' => 416.0009765625,
3289
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9',
3290
            'block_type' => 'fromreg',
3291
            'dbl_ovrd' => 0,
3292
            'init' => 0,
3293
            'init_bit_vector' => '00000000000000000000000000000000b',
3294
            'mdl_handle' => 3.0009765625,
3295
            'model_handle' => 3.0009765625,
3296
            'n_bits' => 32,
3297
            'ownership' => 2,
3298
            'period' => '5e-009',
3299
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3300
            'shared_memory_name' => 'register04td',
3301
          },
3302
          'needs_vhdl_wrapper' => 0,
3303
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9',
3304
        },
3305
        'entityName' => 'x_x118',
3306
        'ports' => {
3307
          'data_out' => {
3308
            'attributes' => {
3309
              'bin_pt' => 0,
3310
              'is_floating_block' => 1,
3311
              'must_be_hdl_vector' => 1,
3312
              'period' => 1,
3313
              'port_id' => 0,
3314
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/From Register9/data_out',
3315
              'type' => 'UFix_32_0',
3316
            },
3317
            'direction' => 'out',
3318
            'hdlType' => 'std_logic_vector(31 downto 0)',
3319
            'width' => 32,
3320
          },
3321
        },
3322
      },
3323
      'entityName' => 'x_x118',
3324
    },
3325
    'rst_i' => {
3326
      'connections' => {
3327
        'rst_i' => 'rst_i_net',
3328
      },
3329
      'entity' => {
3330
        'attributes' => {
3331
          'isGateway' => 1,
3332
          'is_floating_block' => 1,
3333
        },
3334
        'entityName' => 'rst_i',
3335
        'ports' => {
3336
          'rst_i' => {
3337
            'attributes' => {
3338
              'bin_pt' => 0,
3339
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_i.dat',
3340
              'is_floating_block' => 1,
3341
              'is_gateway_port' => 1,
3342
              'must_be_hdl_vector' => 1,
3343
              'period' => 1,
3344
              'port_id' => 0,
3345
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/rst_i/rst_i',
3346
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/rst_i',
3347
              'timingConstraint' => 'none',
3348
              'type' => 'Bool',
3349
            },
3350
            'direction' => 'out',
3351
            'hdlType' => 'std_logic',
3352
            'width' => 1,
3353
          },
3354
        },
3355
      },
3356
      'entityName' => 'rst_i',
3357
    },
3358
    'rst_o' => {
3359
      'connections' => {
3360
        'rst_o' => 'rst_o_net',
3361
      },
3362
      'entity' => {
3363
        'attributes' => {
3364
          'isGateway' => 1,
3365
          'is_floating_block' => 1,
3366
        },
3367
        'entityName' => 'rst_o',
3368
        'ports' => {
3369
          'rst_o' => {
3370
            'attributes' => {
3371
              'bin_pt' => 0,
3372
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_o.dat',
3373
              'is_floating_block' => 1,
3374
              'is_gateway_port' => 1,
3375
              'must_be_hdl_vector' => 1,
3376
              'period' => 1,
3377
              'port_id' => 0,
3378
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/rst_o/rst_o',
3379
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/rst_o',
3380
              'timingConstraint' => 'none',
3381
              'type' => 'Bool',
3382
            },
3383
            'direction' => 'in',
3384
            'hdlType' => 'std_logic',
3385
            'width' => 1,
3386
          },
3387
        },
3388
      },
3389
      'entityName' => 'rst_o',
3390
    },
3391
    'to_register' => {
3392
      'connections' => {
3393
        'ce' => 'ce_1_sg_x0',
3394
        'clk' => 'clk_1_sg_x0',
3395
        'clr' => [
3396
          'constant',
3397
          '\'0\'',
3398
        ],
3399
        'data_in' => 'data_in_net',
3400
        'dout' => 'to_register_dout_net',
3401
        'en' => 'constant6_op_net_x0',
3402
      },
3403
      'entity' => {
3404
        'attributes' => {
3405
          'generics' => [
3406
          ],
3407
          'is_floating_block' => 1,
3408
          'mask' => {
3409
            'Block_Handle' => 981.000366210938,
3410
            'Block_handle' => 981.000366210938,
3411
            'MDL_Handle' => 3.0009765625,
3412
            'MDL_handle' => 3.0009765625,
3413
            'arith_type' => 1,
3414
            'bin_pt' => 14,
3415
            'block_config' => 'sysgen_blockset:toreg_config',
3416
            'block_handle' => 981.000366210938,
3417
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register',
3418
            'block_type' => 'toreg',
3419
            'dbl_ovrd' => 0,
3420
            'explicit_data_type' => 0,
3421
            'init' => 0,
3422
            'init_bit_vector' => '00000000000000000000000000000000b',
3423
            'mdl_handle' => 3.0009765625,
3424
            'model_handle' => 3.0009765625,
3425
            'n_bits' => 16,
3426
            'ownership' => 1,
3427
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3428
            'shared_memory_name' => 'register01rd',
3429
          },
3430
          'needs_vhdl_wrapper' => 0,
3431
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register',
3432
        },
3433
        'entityName' => 'x_x33',
3434
        'ports' => {
3435
          'ce' => {
3436
            'attributes' => {
3437
              'domain' => '',
3438
              'group' => 1,
3439
              'isCe' => 1,
3440
              'is_floating_block' => 1,
3441
              'period' => 1,
3442
              'type' => 'logic',
3443
            },
3444
            'direction' => 'in',
3445
            'hdlType' => 'std_logic',
3446
            'width' => 1,
3447
          },
3448
          'clk' => {
3449
            'attributes' => {
3450
              'domain' => '',
3451
              'group' => 1,
3452
              'isClk' => 1,
3453
              'is_floating_block' => 1,
3454
              'period' => 1,
3455
              'type' => 'logic',
3456
            },
3457
            'direction' => 'in',
3458
            'hdlType' => 'std_logic',
3459
            'width' => 1,
3460
          },
3461
          'clr' => {
3462
            'attributes' => {
3463
              'domain' => '',
3464
              'group' => 1,
3465
              'isClr' => 1,
3466
              'is_floating_block' => 1,
3467
              'period' => 1,
3468
              'type' => 'logic',
3469
              'valid_bit_used' => 0,
3470
            },
3471
            'direction' => 'in',
3472
            'hdlType' => 'std_logic',
3473
            'width' => 1,
3474
          },
3475
          'data_in' => {
3476
            'attributes' => {
3477
              'bin_pt' => 0,
3478
              'is_floating_block' => 1,
3479
              'must_be_hdl_vector' => 1,
3480
              'period' => 1,
3481
              'port_id' => 0,
3482
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/data_in',
3483
              'type' => 'UFix_32_0',
3484
            },
3485
            'direction' => 'in',
3486
            'hdlType' => 'std_logic_vector(31 downto 0)',
3487
            'width' => 32,
3488
          },
3489
          'dout' => {
3490
            'attributes' => {
3491
              'bin_pt' => 0,
3492
              'is_floating_block' => 1,
3493
              'must_be_hdl_vector' => 1,
3494
              'period' => 1,
3495
              'port_id' => 0,
3496
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/dout',
3497
              'type' => 'UFix_32_0',
3498
            },
3499
            'direction' => 'out',
3500
            'hdlType' => 'std_logic_vector(31 downto 0)',
3501
            'width' => 32,
3502
          },
3503
          'en' => {
3504
            'attributes' => {
3505
              'bin_pt' => 0,
3506
              'is_floating_block' => 1,
3507
              'must_be_hdl_vector' => 1,
3508
              'period' => 1,
3509
              'port_id' => 1,
3510
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register/en',
3511
              'type' => 'Bool',
3512
            },
3513
            'direction' => 'in',
3514
            'hdlType' => 'std_logic_vector(0 downto 0)',
3515
            'width' => 1,
3516
          },
3517
        },
3518
      },
3519
      'entityName' => 'x_x33',
3520
    },
3521
    'to_register1' => {
3522
      'connections' => {
3523
        'ce' => 'ce_1_sg_x0',
3524
        'clk' => 'clk_1_sg_x0',
3525
        'clr' => [
3526
          'constant',
3527
          '\'0\'',
3528
        ],
3529
        'data_in' => 'data_in_x0_net',
3530
        'dout' => 'to_register1_dout_net',
3531
        'en' => 'constant6_op_net_x1',
3532
      },
3533
      'entity' => {
3534
        'attributes' => {
3535
          'generics' => [
3536
          ],
3537
          'is_floating_block' => 1,
3538
          'mask' => {
3539
            'Block_Handle' => 982.000122070313,
3540
            'Block_handle' => 982.000122070313,
3541
            'MDL_Handle' => 3.0009765625,
3542
            'MDL_handle' => 3.0009765625,
3543
            'arith_type' => 1,
3544
            'bin_pt' => 14,
3545
            'block_config' => 'sysgen_blockset:toreg_config',
3546
            'block_handle' => 982.000122070313,
3547
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1',
3548
            'block_type' => 'toreg',
3549
            'dbl_ovrd' => 0,
3550
            'explicit_data_type' => 0,
3551
            'init' => 0,
3552
            'init_bit_vector' => '0b',
3553
            'mdl_handle' => 3.0009765625,
3554
            'model_handle' => 3.0009765625,
3555
            'n_bits' => 16,
3556
            'ownership' => 1,
3557
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3558
            'shared_memory_name' => 'register01rv',
3559
          },
3560
          'needs_vhdl_wrapper' => 0,
3561
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1',
3562
        },
3563
        'entityName' => 'x_x34',
3564
        'ports' => {
3565
          'ce' => {
3566
            'attributes' => {
3567
              'domain' => '',
3568
              'group' => 1,
3569
              'isCe' => 1,
3570
              'is_floating_block' => 1,
3571
              'period' => 1,
3572
              'type' => 'logic',
3573
            },
3574
            'direction' => 'in',
3575
            'hdlType' => 'std_logic',
3576
            'width' => 1,
3577
          },
3578
          'clk' => {
3579
            'attributes' => {
3580
              'domain' => '',
3581
              'group' => 1,
3582
              'isClk' => 1,
3583
              'is_floating_block' => 1,
3584
              'period' => 1,
3585
              'type' => 'logic',
3586
            },
3587
            'direction' => 'in',
3588
            'hdlType' => 'std_logic',
3589
            'width' => 1,
3590
          },
3591
          'clr' => {
3592
            'attributes' => {
3593
              'domain' => '',
3594
              'group' => 1,
3595
              'isClr' => 1,
3596
              'is_floating_block' => 1,
3597
              'period' => 1,
3598
              'type' => 'logic',
3599
              'valid_bit_used' => 0,
3600
            },
3601
            'direction' => 'in',
3602
            'hdlType' => 'std_logic',
3603
            'width' => 1,
3604
          },
3605
          'data_in' => {
3606
            'attributes' => {
3607
              'bin_pt' => 0,
3608
              'is_floating_block' => 1,
3609
              'must_be_hdl_vector' => 1,
3610
              'period' => 1,
3611
              'port_id' => 0,
3612
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/data_in',
3613
              'type' => 'Bool',
3614
            },
3615
            'direction' => 'in',
3616
            'hdlType' => 'std_logic_vector(0 downto 0)',
3617
            'width' => 1,
3618
          },
3619
          'dout' => {
3620
            'attributes' => {
3621
              'bin_pt' => 0,
3622
              'is_floating_block' => 1,
3623
              'must_be_hdl_vector' => 1,
3624
              'period' => 1,
3625
              'port_id' => 0,
3626
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/dout',
3627
              'type' => 'Bool',
3628
            },
3629
            'direction' => 'out',
3630
            'hdlType' => 'std_logic_vector(0 downto 0)',
3631
            'width' => 1,
3632
          },
3633
          'en' => {
3634
            'attributes' => {
3635
              'bin_pt' => 0,
3636
              'is_floating_block' => 1,
3637
              'must_be_hdl_vector' => 1,
3638
              'period' => 1,
3639
              'port_id' => 1,
3640
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register1/en',
3641
              'type' => 'Bool',
3642
            },
3643
            'direction' => 'in',
3644
            'hdlType' => 'std_logic_vector(0 downto 0)',
3645
            'width' => 1,
3646
          },
3647
        },
3648
      },
3649
      'entityName' => 'x_x34',
3650
    },
3651
    'to_register10' => {
3652
      'connections' => {
3653
        'ce' => 'ce_1_sg_x0',
3654
        'clk' => 'clk_1_sg_x0',
3655
        'clr' => [
3656
          'constant',
3657
          '\'0\'',
3658
        ],
3659
        'data_in' => 'data_in_x1_net',
3660
        'dout' => 'to_register10_dout_net',
3661
        'en' => 'constant6_op_net_x2',
3662
      },
3663
      'entity' => {
3664
        'attributes' => {
3665
          'generics' => [
3666
          ],
3667
          'is_floating_block' => 1,
3668
          'mask' => {
3669
            'Block_Handle' => 983.000122070313,
3670
            'Block_handle' => 983.000122070313,
3671
            'MDL_Handle' => 3.0009765625,
3672
            'MDL_handle' => 3.0009765625,
3673
            'arith_type' => 1,
3674
            'bin_pt' => 14,
3675
            'block_config' => 'sysgen_blockset:toreg_config',
3676
            'block_handle' => 983.000122070313,
3677
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10',
3678
            'block_type' => 'toreg',
3679
            'dbl_ovrd' => 0,
3680
            'explicit_data_type' => 0,
3681
            'init' => 0,
3682
            'init_bit_vector' => '0b',
3683
            'mdl_handle' => 3.0009765625,
3684
            'model_handle' => 3.0009765625,
3685
            'n_bits' => 16,
3686
            'ownership' => 1,
3687
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3688
            'shared_memory_name' => 'register05rv',
3689
          },
3690
          'needs_vhdl_wrapper' => 0,
3691
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10',
3692
        },
3693
        'entityName' => 'x_x35',
3694
        'ports' => {
3695
          'ce' => {
3696
            'attributes' => {
3697
              'domain' => '',
3698
              'group' => 1,
3699
              'isCe' => 1,
3700
              'is_floating_block' => 1,
3701
              'period' => 1,
3702
              'type' => 'logic',
3703
            },
3704
            'direction' => 'in',
3705
            'hdlType' => 'std_logic',
3706
            'width' => 1,
3707
          },
3708
          'clk' => {
3709
            'attributes' => {
3710
              'domain' => '',
3711
              'group' => 1,
3712
              'isClk' => 1,
3713
              'is_floating_block' => 1,
3714
              'period' => 1,
3715
              'type' => 'logic',
3716
            },
3717
            'direction' => 'in',
3718
            'hdlType' => 'std_logic',
3719
            'width' => 1,
3720
          },
3721
          'clr' => {
3722
            'attributes' => {
3723
              'domain' => '',
3724
              'group' => 1,
3725
              'isClr' => 1,
3726
              'is_floating_block' => 1,
3727
              'period' => 1,
3728
              'type' => 'logic',
3729
              'valid_bit_used' => 0,
3730
            },
3731
            'direction' => 'in',
3732
            'hdlType' => 'std_logic',
3733
            'width' => 1,
3734
          },
3735
          'data_in' => {
3736
            'attributes' => {
3737
              'bin_pt' => 0,
3738
              'is_floating_block' => 1,
3739
              'must_be_hdl_vector' => 1,
3740
              'period' => 1,
3741
              'port_id' => 0,
3742
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/data_in',
3743
              'type' => 'Bool',
3744
            },
3745
            'direction' => 'in',
3746
            'hdlType' => 'std_logic_vector(0 downto 0)',
3747
            'width' => 1,
3748
          },
3749
          'dout' => {
3750
            'attributes' => {
3751
              'bin_pt' => 0,
3752
              'is_floating_block' => 1,
3753
              'must_be_hdl_vector' => 1,
3754
              'period' => 1,
3755
              'port_id' => 0,
3756
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/dout',
3757
              'type' => 'Bool',
3758
            },
3759
            'direction' => 'out',
3760
            'hdlType' => 'std_logic_vector(0 downto 0)',
3761
            'width' => 1,
3762
          },
3763
          'en' => {
3764
            'attributes' => {
3765
              'bin_pt' => 0,
3766
              'is_floating_block' => 1,
3767
              'must_be_hdl_vector' => 1,
3768
              'period' => 1,
3769
              'port_id' => 1,
3770
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register10/en',
3771
              'type' => 'Bool',
3772
            },
3773
            'direction' => 'in',
3774
            'hdlType' => 'std_logic_vector(0 downto 0)',
3775
            'width' => 1,
3776
          },
3777
        },
3778
      },
3779
      'entityName' => 'x_x35',
3780
    },
3781
    'to_register11' => {
3782
      'connections' => {
3783
        'ce' => 'ce_1_sg_x0',
3784
        'clk' => 'clk_1_sg_x0',
3785
        'clr' => [
3786
          'constant',
3787
          '\'0\'',
3788
        ],
3789
        'data_in' => 'data_in_x2_net',
3790
        'dout' => 'to_register11_dout_net',
3791
        'en' => 'constant6_op_net_x3',
3792
      },
3793
      'entity' => {
3794
        'attributes' => {
3795
          'generics' => [
3796
          ],
3797
          'is_floating_block' => 1,
3798
          'mask' => {
3799
            'Block_Handle' => 984.000122070313,
3800
            'Block_handle' => 984.000122070313,
3801
            'MDL_Handle' => 3.0009765625,
3802
            'MDL_handle' => 3.0009765625,
3803
            'arith_type' => 1,
3804
            'bin_pt' => 14,
3805
            'block_config' => 'sysgen_blockset:toreg_config',
3806
            'block_handle' => 984.000122070313,
3807
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11',
3808
            'block_type' => 'toreg',
3809
            'dbl_ovrd' => 0,
3810
            'explicit_data_type' => 0,
3811
            'init' => 0,
3812
            'init_bit_vector' => '0b',
3813
            'mdl_handle' => 3.0009765625,
3814
            'model_handle' => 3.0009765625,
3815
            'n_bits' => 16,
3816
            'ownership' => 1,
3817
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3818
            'shared_memory_name' => 'register06rv',
3819
          },
3820
          'needs_vhdl_wrapper' => 0,
3821
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11',
3822
        },
3823
        'entityName' => 'x_x36',
3824
        'ports' => {
3825
          'ce' => {
3826
            'attributes' => {
3827
              'domain' => '',
3828
              'group' => 1,
3829
              'isCe' => 1,
3830
              'is_floating_block' => 1,
3831
              'period' => 1,
3832
              'type' => 'logic',
3833
            },
3834
            'direction' => 'in',
3835
            'hdlType' => 'std_logic',
3836
            'width' => 1,
3837
          },
3838
          'clk' => {
3839
            'attributes' => {
3840
              'domain' => '',
3841
              'group' => 1,
3842
              'isClk' => 1,
3843
              'is_floating_block' => 1,
3844
              'period' => 1,
3845
              'type' => 'logic',
3846
            },
3847
            'direction' => 'in',
3848
            'hdlType' => 'std_logic',
3849
            'width' => 1,
3850
          },
3851
          'clr' => {
3852
            'attributes' => {
3853
              'domain' => '',
3854
              'group' => 1,
3855
              'isClr' => 1,
3856
              'is_floating_block' => 1,
3857
              'period' => 1,
3858
              'type' => 'logic',
3859
              'valid_bit_used' => 0,
3860
            },
3861
            'direction' => 'in',
3862
            'hdlType' => 'std_logic',
3863
            'width' => 1,
3864
          },
3865
          'data_in' => {
3866
            'attributes' => {
3867
              'bin_pt' => 0,
3868
              'is_floating_block' => 1,
3869
              'must_be_hdl_vector' => 1,
3870
              'period' => 1,
3871
              'port_id' => 0,
3872
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/data_in',
3873
              'type' => 'Bool',
3874
            },
3875
            'direction' => 'in',
3876
            'hdlType' => 'std_logic_vector(0 downto 0)',
3877
            'width' => 1,
3878
          },
3879
          'dout' => {
3880
            'attributes' => {
3881
              'bin_pt' => 0,
3882
              'is_floating_block' => 1,
3883
              'must_be_hdl_vector' => 1,
3884
              'period' => 1,
3885
              'port_id' => 0,
3886
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/dout',
3887
              'type' => 'Bool',
3888
            },
3889
            'direction' => 'out',
3890
            'hdlType' => 'std_logic_vector(0 downto 0)',
3891
            'width' => 1,
3892
          },
3893
          'en' => {
3894
            'attributes' => {
3895
              'bin_pt' => 0,
3896
              'is_floating_block' => 1,
3897
              'must_be_hdl_vector' => 1,
3898
              'period' => 1,
3899
              'port_id' => 1,
3900
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register11/en',
3901
              'type' => 'Bool',
3902
            },
3903
            'direction' => 'in',
3904
            'hdlType' => 'std_logic_vector(0 downto 0)',
3905
            'width' => 1,
3906
          },
3907
        },
3908
      },
3909
      'entityName' => 'x_x36',
3910
    },
3911
    'to_register12' => {
3912
      'connections' => {
3913
        'ce' => 'ce_1_sg_x0',
3914
        'clk' => 'clk_1_sg_x0',
3915
        'clr' => [
3916
          'constant',
3917
          '\'0\'',
3918
        ],
3919
        'data_in' => 'data_in_x3_net',
3920
        'dout' => 'to_register12_dout_net',
3921
        'en' => 'constant6_op_net_x4',
3922
      },
3923
      'entity' => {
3924
        'attributes' => {
3925
          'generics' => [
3926
          ],
3927
          'is_floating_block' => 1,
3928
          'mask' => {
3929
            'Block_Handle' => 985.000122070313,
3930
            'Block_handle' => 985.000122070313,
3931
            'MDL_Handle' => 3.0009765625,
3932
            'MDL_handle' => 3.0009765625,
3933
            'arith_type' => 1,
3934
            'bin_pt' => 14,
3935
            'block_config' => 'sysgen_blockset:toreg_config',
3936
            'block_handle' => 985.000122070313,
3937
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12',
3938
            'block_type' => 'toreg',
3939
            'dbl_ovrd' => 0,
3940
            'explicit_data_type' => 0,
3941
            'init' => 0,
3942
            'init_bit_vector' => '0b',
3943
            'mdl_handle' => 3.0009765625,
3944
            'model_handle' => 3.0009765625,
3945
            'n_bits' => 16,
3946
            'ownership' => 1,
3947
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
3948
            'shared_memory_name' => 'register07rv',
3949
          },
3950
          'needs_vhdl_wrapper' => 0,
3951
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12',
3952
        },
3953
        'entityName' => 'x_x37',
3954
        'ports' => {
3955
          'ce' => {
3956
            'attributes' => {
3957
              'domain' => '',
3958
              'group' => 1,
3959
              'isCe' => 1,
3960
              'is_floating_block' => 1,
3961
              'period' => 1,
3962
              'type' => 'logic',
3963
            },
3964
            'direction' => 'in',
3965
            'hdlType' => 'std_logic',
3966
            'width' => 1,
3967
          },
3968
          'clk' => {
3969
            'attributes' => {
3970
              'domain' => '',
3971
              'group' => 1,
3972
              'isClk' => 1,
3973
              'is_floating_block' => 1,
3974
              'period' => 1,
3975
              'type' => 'logic',
3976
            },
3977
            'direction' => 'in',
3978
            'hdlType' => 'std_logic',
3979
            'width' => 1,
3980
          },
3981
          'clr' => {
3982
            'attributes' => {
3983
              'domain' => '',
3984
              'group' => 1,
3985
              'isClr' => 1,
3986
              'is_floating_block' => 1,
3987
              'period' => 1,
3988
              'type' => 'logic',
3989
              'valid_bit_used' => 0,
3990
            },
3991
            'direction' => 'in',
3992
            'hdlType' => 'std_logic',
3993
            'width' => 1,
3994
          },
3995
          'data_in' => {
3996
            'attributes' => {
3997
              'bin_pt' => 0,
3998
              'is_floating_block' => 1,
3999
              'must_be_hdl_vector' => 1,
4000
              'period' => 1,
4001
              'port_id' => 0,
4002
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/data_in',
4003
              'type' => 'Bool',
4004
            },
4005
            'direction' => 'in',
4006
            'hdlType' => 'std_logic_vector(0 downto 0)',
4007
            'width' => 1,
4008
          },
4009
          'dout' => {
4010
            'attributes' => {
4011
              'bin_pt' => 0,
4012
              'is_floating_block' => 1,
4013
              'must_be_hdl_vector' => 1,
4014
              'period' => 1,
4015
              'port_id' => 0,
4016
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/dout',
4017
              'type' => 'Bool',
4018
            },
4019
            'direction' => 'out',
4020
            'hdlType' => 'std_logic_vector(0 downto 0)',
4021
            'width' => 1,
4022
          },
4023
          'en' => {
4024
            'attributes' => {
4025
              'bin_pt' => 0,
4026
              'is_floating_block' => 1,
4027
              'must_be_hdl_vector' => 1,
4028
              'period' => 1,
4029
              'port_id' => 1,
4030
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register12/en',
4031
              'type' => 'Bool',
4032
            },
4033
            'direction' => 'in',
4034
            'hdlType' => 'std_logic_vector(0 downto 0)',
4035
            'width' => 1,
4036
          },
4037
        },
4038
      },
4039
      'entityName' => 'x_x37',
4040
    },
4041
    'to_register13' => {
4042
      'connections' => {
4043
        'ce' => 'ce_1_sg_x0',
4044
        'clk' => 'clk_1_sg_x0',
4045
        'clr' => [
4046
          'constant',
4047
          '\'0\'',
4048
        ],
4049
        'data_in' => 'data_in_x4_net',
4050
        'dout' => 'to_register13_dout_net',
4051
        'en' => 'constant6_op_net_x5',
4052
      },
4053
      'entity' => {
4054
        'attributes' => {
4055
          'generics' => [
4056
          ],
4057
          'is_floating_block' => 1,
4058
          'mask' => {
4059
            'Block_Handle' => 986.000122070313,
4060
            'Block_handle' => 986.000122070313,
4061
            'MDL_Handle' => 3.0009765625,
4062
            'MDL_handle' => 3.0009765625,
4063
            'arith_type' => 1,
4064
            'bin_pt' => 14,
4065
            'block_config' => 'sysgen_blockset:toreg_config',
4066
            'block_handle' => 986.000122070313,
4067
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13',
4068
            'block_type' => 'toreg',
4069
            'dbl_ovrd' => 0,
4070
            'explicit_data_type' => 0,
4071
            'init' => 0,
4072
            'init_bit_vector' => '00000000000000000000000000000000b',
4073
            'mdl_handle' => 3.0009765625,
4074
            'model_handle' => 3.0009765625,
4075
            'n_bits' => 16,
4076
            'ownership' => 1,
4077
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4078
            'shared_memory_name' => 'register07rd',
4079
          },
4080
          'needs_vhdl_wrapper' => 0,
4081
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13',
4082
        },
4083
        'entityName' => 'x_x38',
4084
        'ports' => {
4085
          'ce' => {
4086
            'attributes' => {
4087
              'domain' => '',
4088
              'group' => 1,
4089
              'isCe' => 1,
4090
              'is_floating_block' => 1,
4091
              'period' => 1,
4092
              'type' => 'logic',
4093
            },
4094
            'direction' => 'in',
4095
            'hdlType' => 'std_logic',
4096
            'width' => 1,
4097
          },
4098
          'clk' => {
4099
            'attributes' => {
4100
              'domain' => '',
4101
              'group' => 1,
4102
              'isClk' => 1,
4103
              'is_floating_block' => 1,
4104
              'period' => 1,
4105
              'type' => 'logic',
4106
            },
4107
            'direction' => 'in',
4108
            'hdlType' => 'std_logic',
4109
            'width' => 1,
4110
          },
4111
          'clr' => {
4112
            'attributes' => {
4113
              'domain' => '',
4114
              'group' => 1,
4115
              'isClr' => 1,
4116
              'is_floating_block' => 1,
4117
              'period' => 1,
4118
              'type' => 'logic',
4119
              'valid_bit_used' => 0,
4120
            },
4121
            'direction' => 'in',
4122
            'hdlType' => 'std_logic',
4123
            'width' => 1,
4124
          },
4125
          'data_in' => {
4126
            'attributes' => {
4127
              'bin_pt' => 0,
4128
              'is_floating_block' => 1,
4129
              'must_be_hdl_vector' => 1,
4130
              'period' => 1,
4131
              'port_id' => 0,
4132
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/data_in',
4133
              'type' => 'UFix_32_0',
4134
            },
4135
            'direction' => 'in',
4136
            'hdlType' => 'std_logic_vector(31 downto 0)',
4137
            'width' => 32,
4138
          },
4139
          'dout' => {
4140
            'attributes' => {
4141
              'bin_pt' => 0,
4142
              'is_floating_block' => 1,
4143
              'must_be_hdl_vector' => 1,
4144
              'period' => 1,
4145
              'port_id' => 0,
4146
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/dout',
4147
              'type' => 'UFix_32_0',
4148
            },
4149
            'direction' => 'out',
4150
            'hdlType' => 'std_logic_vector(31 downto 0)',
4151
            'width' => 32,
4152
          },
4153
          'en' => {
4154
            'attributes' => {
4155
              'bin_pt' => 0,
4156
              'is_floating_block' => 1,
4157
              'must_be_hdl_vector' => 1,
4158
              'period' => 1,
4159
              'port_id' => 1,
4160
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register13/en',
4161
              'type' => 'Bool',
4162
            },
4163
            'direction' => 'in',
4164
            'hdlType' => 'std_logic_vector(0 downto 0)',
4165
            'width' => 1,
4166
          },
4167
        },
4168
      },
4169
      'entityName' => 'x_x38',
4170
    },
4171
    'to_register14' => {
4172
      'connections' => {
4173
        'ce' => 'ce_1_sg_x0',
4174
        'clk' => 'clk_1_sg_x0',
4175
        'clr' => [
4176
          'constant',
4177
          '\'0\'',
4178
        ],
4179
        'data_in' => 'data_in_x5_net',
4180
        'dout' => 'to_register14_dout_net',
4181
        'en' => 'constant6_op_net_x6',
4182
      },
4183
      'entity' => {
4184
        'attributes' => {
4185
          'generics' => [
4186
          ],
4187
          'is_floating_block' => 1,
4188
          'mask' => {
4189
            'Block_Handle' => 987.000122070313,
4190
            'Block_handle' => 987.000122070313,
4191
            'MDL_Handle' => 3.0009765625,
4192
            'MDL_handle' => 3.0009765625,
4193
            'arith_type' => 1,
4194
            'bin_pt' => 14,
4195
            'block_config' => 'sysgen_blockset:toreg_config',
4196
            'block_handle' => 987.000122070313,
4197
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14',
4198
            'block_type' => 'toreg',
4199
            'dbl_ovrd' => 0,
4200
            'explicit_data_type' => 0,
4201
            'init' => 0,
4202
            'init_bit_vector' => '0b',
4203
            'mdl_handle' => 3.0009765625,
4204
            'model_handle' => 3.0009765625,
4205
            'n_bits' => 16,
4206
            'ownership' => 1,
4207
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4208
            'shared_memory_name' => 'register08rv',
4209
          },
4210
          'needs_vhdl_wrapper' => 0,
4211
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14',
4212
        },
4213
        'entityName' => 'x_x39',
4214
        'ports' => {
4215
          'ce' => {
4216
            'attributes' => {
4217
              'domain' => '',
4218
              'group' => 1,
4219
              'isCe' => 1,
4220
              'is_floating_block' => 1,
4221
              'period' => 1,
4222
              'type' => 'logic',
4223
            },
4224
            'direction' => 'in',
4225
            'hdlType' => 'std_logic',
4226
            'width' => 1,
4227
          },
4228
          'clk' => {
4229
            'attributes' => {
4230
              'domain' => '',
4231
              'group' => 1,
4232
              'isClk' => 1,
4233
              'is_floating_block' => 1,
4234
              'period' => 1,
4235
              'type' => 'logic',
4236
            },
4237
            'direction' => 'in',
4238
            'hdlType' => 'std_logic',
4239
            'width' => 1,
4240
          },
4241
          'clr' => {
4242
            'attributes' => {
4243
              'domain' => '',
4244
              'group' => 1,
4245
              'isClr' => 1,
4246
              'is_floating_block' => 1,
4247
              'period' => 1,
4248
              'type' => 'logic',
4249
              'valid_bit_used' => 0,
4250
            },
4251
            'direction' => 'in',
4252
            'hdlType' => 'std_logic',
4253
            'width' => 1,
4254
          },
4255
          'data_in' => {
4256
            'attributes' => {
4257
              'bin_pt' => 0,
4258
              'is_floating_block' => 1,
4259
              'must_be_hdl_vector' => 1,
4260
              'period' => 1,
4261
              'port_id' => 0,
4262
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/data_in',
4263
              'type' => 'Bool',
4264
            },
4265
            'direction' => 'in',
4266
            'hdlType' => 'std_logic_vector(0 downto 0)',
4267
            'width' => 1,
4268
          },
4269
          'dout' => {
4270
            'attributes' => {
4271
              'bin_pt' => 0,
4272
              'is_floating_block' => 1,
4273
              'must_be_hdl_vector' => 1,
4274
              'period' => 1,
4275
              'port_id' => 0,
4276
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/dout',
4277
              'type' => 'Bool',
4278
            },
4279
            'direction' => 'out',
4280
            'hdlType' => 'std_logic_vector(0 downto 0)',
4281
            'width' => 1,
4282
          },
4283
          'en' => {
4284
            'attributes' => {
4285
              'bin_pt' => 0,
4286
              'is_floating_block' => 1,
4287
              'must_be_hdl_vector' => 1,
4288
              'period' => 1,
4289
              'port_id' => 1,
4290
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register14/en',
4291
              'type' => 'Bool',
4292
            },
4293
            'direction' => 'in',
4294
            'hdlType' => 'std_logic_vector(0 downto 0)',
4295
            'width' => 1,
4296
          },
4297
        },
4298
      },
4299
      'entityName' => 'x_x39',
4300
    },
4301
    'to_register15' => {
4302
      'connections' => {
4303
        'ce' => 'ce_1_sg_x0',
4304
        'clk' => 'clk_1_sg_x0',
4305
        'clr' => [
4306
          'constant',
4307
          '\'0\'',
4308
        ],
4309
        'data_in' => 'data_in_x6_net',
4310
        'dout' => 'to_register15_dout_net',
4311
        'en' => 'constant6_op_net_x7',
4312
      },
4313
      'entity' => {
4314
        'attributes' => {
4315
          'generics' => [
4316
          ],
4317
          'is_floating_block' => 1,
4318
          'mask' => {
4319
            'Block_Handle' => 988.000122070313,
4320
            'Block_handle' => 988.000122070313,
4321
            'MDL_Handle' => 3.0009765625,
4322
            'MDL_handle' => 3.0009765625,
4323
            'arith_type' => 1,
4324
            'bin_pt' => 14,
4325
            'block_config' => 'sysgen_blockset:toreg_config',
4326
            'block_handle' => 988.000122070313,
4327
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15',
4328
            'block_type' => 'toreg',
4329
            'dbl_ovrd' => 0,
4330
            'explicit_data_type' => 0,
4331
            'init' => 0,
4332
            'init_bit_vector' => '00000000000000000000000000000000b',
4333
            'mdl_handle' => 3.0009765625,
4334
            'model_handle' => 3.0009765625,
4335
            'n_bits' => 16,
4336
            'ownership' => 1,
4337
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4338
            'shared_memory_name' => 'register08rd',
4339
          },
4340
          'needs_vhdl_wrapper' => 0,
4341
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15',
4342
        },
4343
        'entityName' => 'x_x40',
4344
        'ports' => {
4345
          'ce' => {
4346
            'attributes' => {
4347
              'domain' => '',
4348
              'group' => 1,
4349
              'isCe' => 1,
4350
              'is_floating_block' => 1,
4351
              'period' => 1,
4352
              'type' => 'logic',
4353
            },
4354
            'direction' => 'in',
4355
            'hdlType' => 'std_logic',
4356
            'width' => 1,
4357
          },
4358
          'clk' => {
4359
            'attributes' => {
4360
              'domain' => '',
4361
              'group' => 1,
4362
              'isClk' => 1,
4363
              'is_floating_block' => 1,
4364
              'period' => 1,
4365
              'type' => 'logic',
4366
            },
4367
            'direction' => 'in',
4368
            'hdlType' => 'std_logic',
4369
            'width' => 1,
4370
          },
4371
          'clr' => {
4372
            'attributes' => {
4373
              'domain' => '',
4374
              'group' => 1,
4375
              'isClr' => 1,
4376
              'is_floating_block' => 1,
4377
              'period' => 1,
4378
              'type' => 'logic',
4379
              'valid_bit_used' => 0,
4380
            },
4381
            'direction' => 'in',
4382
            'hdlType' => 'std_logic',
4383
            'width' => 1,
4384
          },
4385
          'data_in' => {
4386
            'attributes' => {
4387
              'bin_pt' => 0,
4388
              'is_floating_block' => 1,
4389
              'must_be_hdl_vector' => 1,
4390
              'period' => 1,
4391
              'port_id' => 0,
4392
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/data_in',
4393
              'type' => 'UFix_32_0',
4394
            },
4395
            'direction' => 'in',
4396
            'hdlType' => 'std_logic_vector(31 downto 0)',
4397
            'width' => 32,
4398
          },
4399
          'dout' => {
4400
            'attributes' => {
4401
              'bin_pt' => 0,
4402
              'is_floating_block' => 1,
4403
              'must_be_hdl_vector' => 1,
4404
              'period' => 1,
4405
              'port_id' => 0,
4406
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/dout',
4407
              'type' => 'UFix_32_0',
4408
            },
4409
            'direction' => 'out',
4410
            'hdlType' => 'std_logic_vector(31 downto 0)',
4411
            'width' => 32,
4412
          },
4413
          'en' => {
4414
            'attributes' => {
4415
              'bin_pt' => 0,
4416
              'is_floating_block' => 1,
4417
              'must_be_hdl_vector' => 1,
4418
              'period' => 1,
4419
              'port_id' => 1,
4420
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register15/en',
4421
              'type' => 'Bool',
4422
            },
4423
            'direction' => 'in',
4424
            'hdlType' => 'std_logic_vector(0 downto 0)',
4425
            'width' => 1,
4426
          },
4427
        },
4428
      },
4429
      'entityName' => 'x_x40',
4430
    },
4431
    'to_register16' => {
4432
      'connections' => {
4433
        'ce' => 'ce_1_sg_x0',
4434
        'clk' => 'clk_1_sg_x0',
4435
        'clr' => [
4436
          'constant',
4437
          '\'0\'',
4438
        ],
4439
        'data_in' => 'data_in_x7_net',
4440
        'dout' => 'to_register16_dout_net',
4441
        'en' => 'constant6_op_net_x8',
4442
      },
4443
      'entity' => {
4444
        'attributes' => {
4445
          'generics' => [
4446
          ],
4447
          'is_floating_block' => 1,
4448
          'mask' => {
4449
            'Block_Handle' => 989.000122070313,
4450
            'Block_handle' => 989.000122070313,
4451
            'MDL_Handle' => 3.0009765625,
4452
            'MDL_handle' => 3.0009765625,
4453
            'arith_type' => 1,
4454
            'bin_pt' => 14,
4455
            'block_config' => 'sysgen_blockset:toreg_config',
4456
            'block_handle' => 989.000122070313,
4457
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16',
4458
            'block_type' => 'toreg',
4459
            'dbl_ovrd' => 0,
4460
            'explicit_data_type' => 0,
4461
            'init' => 0,
4462
            'init_bit_vector' => '0b',
4463
            'mdl_handle' => 3.0009765625,
4464
            'model_handle' => 3.0009765625,
4465
            'n_bits' => 16,
4466
            'ownership' => 1,
4467
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4468
            'shared_memory_name' => 'register09rv',
4469
          },
4470
          'needs_vhdl_wrapper' => 0,
4471
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16',
4472
        },
4473
        'entityName' => 'x_x41',
4474
        'ports' => {
4475
          'ce' => {
4476
            'attributes' => {
4477
              'domain' => '',
4478
              'group' => 1,
4479
              'isCe' => 1,
4480
              'is_floating_block' => 1,
4481
              'period' => 1,
4482
              'type' => 'logic',
4483
            },
4484
            'direction' => 'in',
4485
            'hdlType' => 'std_logic',
4486
            'width' => 1,
4487
          },
4488
          'clk' => {
4489
            'attributes' => {
4490
              'domain' => '',
4491
              'group' => 1,
4492
              'isClk' => 1,
4493
              'is_floating_block' => 1,
4494
              'period' => 1,
4495
              'type' => 'logic',
4496
            },
4497
            'direction' => 'in',
4498
            'hdlType' => 'std_logic',
4499
            'width' => 1,
4500
          },
4501
          'clr' => {
4502
            'attributes' => {
4503
              'domain' => '',
4504
              'group' => 1,
4505
              'isClr' => 1,
4506
              'is_floating_block' => 1,
4507
              'period' => 1,
4508
              'type' => 'logic',
4509
              'valid_bit_used' => 0,
4510
            },
4511
            'direction' => 'in',
4512
            'hdlType' => 'std_logic',
4513
            'width' => 1,
4514
          },
4515
          'data_in' => {
4516
            'attributes' => {
4517
              'bin_pt' => 0,
4518
              'is_floating_block' => 1,
4519
              'must_be_hdl_vector' => 1,
4520
              'period' => 1,
4521
              'port_id' => 0,
4522
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/data_in',
4523
              'type' => 'Bool',
4524
            },
4525
            'direction' => 'in',
4526
            'hdlType' => 'std_logic_vector(0 downto 0)',
4527
            'width' => 1,
4528
          },
4529
          'dout' => {
4530
            'attributes' => {
4531
              'bin_pt' => 0,
4532
              'is_floating_block' => 1,
4533
              'must_be_hdl_vector' => 1,
4534
              'period' => 1,
4535
              'port_id' => 0,
4536
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/dout',
4537
              'type' => 'Bool',
4538
            },
4539
            'direction' => 'out',
4540
            'hdlType' => 'std_logic_vector(0 downto 0)',
4541
            'width' => 1,
4542
          },
4543
          'en' => {
4544
            'attributes' => {
4545
              'bin_pt' => 0,
4546
              'is_floating_block' => 1,
4547
              'must_be_hdl_vector' => 1,
4548
              'period' => 1,
4549
              'port_id' => 1,
4550
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register16/en',
4551
              'type' => 'Bool',
4552
            },
4553
            'direction' => 'in',
4554
            'hdlType' => 'std_logic_vector(0 downto 0)',
4555
            'width' => 1,
4556
          },
4557
        },
4558
      },
4559
      'entityName' => 'x_x41',
4560
    },
4561
    'to_register17' => {
4562
      'connections' => {
4563
        'ce' => 'ce_1_sg_x0',
4564
        'clk' => 'clk_1_sg_x0',
4565
        'clr' => [
4566
          'constant',
4567
          '\'0\'',
4568
        ],
4569
        'data_in' => 'data_in_x8_net',
4570
        'dout' => 'to_register17_dout_net',
4571
        'en' => 'constant6_op_net_x9',
4572
      },
4573
      'entity' => {
4574
        'attributes' => {
4575
          'generics' => [
4576
          ],
4577
          'is_floating_block' => 1,
4578
          'mask' => {
4579
            'Block_Handle' => 990.000122070313,
4580
            'Block_handle' => 990.000122070313,
4581
            'MDL_Handle' => 3.0009765625,
4582
            'MDL_handle' => 3.0009765625,
4583
            'arith_type' => 1,
4584
            'bin_pt' => 14,
4585
            'block_config' => 'sysgen_blockset:toreg_config',
4586
            'block_handle' => 990.000122070313,
4587
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17',
4588
            'block_type' => 'toreg',
4589
            'dbl_ovrd' => 0,
4590
            'explicit_data_type' => 0,
4591
            'init' => 0,
4592
            'init_bit_vector' => '00000000000000000000000000000000b',
4593
            'mdl_handle' => 3.0009765625,
4594
            'model_handle' => 3.0009765625,
4595
            'n_bits' => 16,
4596
            'ownership' => 1,
4597
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4598
            'shared_memory_name' => 'register09rd',
4599
          },
4600
          'needs_vhdl_wrapper' => 0,
4601
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17',
4602
        },
4603
        'entityName' => 'x_x42',
4604
        'ports' => {
4605
          'ce' => {
4606
            'attributes' => {
4607
              'domain' => '',
4608
              'group' => 1,
4609
              'isCe' => 1,
4610
              'is_floating_block' => 1,
4611
              'period' => 1,
4612
              'type' => 'logic',
4613
            },
4614
            'direction' => 'in',
4615
            'hdlType' => 'std_logic',
4616
            'width' => 1,
4617
          },
4618
          'clk' => {
4619
            'attributes' => {
4620
              'domain' => '',
4621
              'group' => 1,
4622
              'isClk' => 1,
4623
              'is_floating_block' => 1,
4624
              'period' => 1,
4625
              'type' => 'logic',
4626
            },
4627
            'direction' => 'in',
4628
            'hdlType' => 'std_logic',
4629
            'width' => 1,
4630
          },
4631
          'clr' => {
4632
            'attributes' => {
4633
              'domain' => '',
4634
              'group' => 1,
4635
              'isClr' => 1,
4636
              'is_floating_block' => 1,
4637
              'period' => 1,
4638
              'type' => 'logic',
4639
              'valid_bit_used' => 0,
4640
            },
4641
            'direction' => 'in',
4642
            'hdlType' => 'std_logic',
4643
            'width' => 1,
4644
          },
4645
          'data_in' => {
4646
            'attributes' => {
4647
              'bin_pt' => 0,
4648
              'is_floating_block' => 1,
4649
              'must_be_hdl_vector' => 1,
4650
              'period' => 1,
4651
              'port_id' => 0,
4652
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/data_in',
4653
              'type' => 'UFix_32_0',
4654
            },
4655
            'direction' => 'in',
4656
            'hdlType' => 'std_logic_vector(31 downto 0)',
4657
            'width' => 32,
4658
          },
4659
          'dout' => {
4660
            'attributes' => {
4661
              'bin_pt' => 0,
4662
              'is_floating_block' => 1,
4663
              'must_be_hdl_vector' => 1,
4664
              'period' => 1,
4665
              'port_id' => 0,
4666
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/dout',
4667
              'type' => 'UFix_32_0',
4668
            },
4669
            'direction' => 'out',
4670
            'hdlType' => 'std_logic_vector(31 downto 0)',
4671
            'width' => 32,
4672
          },
4673
          'en' => {
4674
            'attributes' => {
4675
              'bin_pt' => 0,
4676
              'is_floating_block' => 1,
4677
              'must_be_hdl_vector' => 1,
4678
              'period' => 1,
4679
              'port_id' => 1,
4680
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register17/en',
4681
              'type' => 'Bool',
4682
            },
4683
            'direction' => 'in',
4684
            'hdlType' => 'std_logic_vector(0 downto 0)',
4685
            'width' => 1,
4686
          },
4687
        },
4688
      },
4689
      'entityName' => 'x_x42',
4690
    },
4691
    'to_register18' => {
4692
      'connections' => {
4693
        'ce' => 'ce_1_sg_x0',
4694
        'clk' => 'clk_1_sg_x0',
4695
        'clr' => [
4696
          'constant',
4697
          '\'0\'',
4698
        ],
4699
        'data_in' => 'data_in_x9_net',
4700
        'dout' => 'to_register18_dout_net',
4701
        'en' => 'constant6_op_net_x10',
4702
      },
4703
      'entity' => {
4704
        'attributes' => {
4705
          'generics' => [
4706
          ],
4707
          'is_floating_block' => 1,
4708
          'mask' => {
4709
            'Block_Handle' => 991.000122070313,
4710
            'Block_handle' => 991.000122070313,
4711
            'MDL_Handle' => 3.0009765625,
4712
            'MDL_handle' => 3.0009765625,
4713
            'arith_type' => 1,
4714
            'bin_pt' => 14,
4715
            'block_config' => 'sysgen_blockset:toreg_config',
4716
            'block_handle' => 991.000122070313,
4717
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18',
4718
            'block_type' => 'toreg',
4719
            'dbl_ovrd' => 0,
4720
            'explicit_data_type' => 0,
4721
            'init' => 0,
4722
            'init_bit_vector' => '0b',
4723
            'mdl_handle' => 3.0009765625,
4724
            'model_handle' => 3.0009765625,
4725
            'n_bits' => 16,
4726
            'ownership' => 1,
4727
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4728
            'shared_memory_name' => 'register10rv',
4729
          },
4730
          'needs_vhdl_wrapper' => 0,
4731
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18',
4732
        },
4733
        'entityName' => 'x_x43',
4734
        'ports' => {
4735
          'ce' => {
4736
            'attributes' => {
4737
              'domain' => '',
4738
              'group' => 1,
4739
              'isCe' => 1,
4740
              'is_floating_block' => 1,
4741
              'period' => 1,
4742
              'type' => 'logic',
4743
            },
4744
            'direction' => 'in',
4745
            'hdlType' => 'std_logic',
4746
            'width' => 1,
4747
          },
4748
          'clk' => {
4749
            'attributes' => {
4750
              'domain' => '',
4751
              'group' => 1,
4752
              'isClk' => 1,
4753
              'is_floating_block' => 1,
4754
              'period' => 1,
4755
              'type' => 'logic',
4756
            },
4757
            'direction' => 'in',
4758
            'hdlType' => 'std_logic',
4759
            'width' => 1,
4760
          },
4761
          'clr' => {
4762
            'attributes' => {
4763
              'domain' => '',
4764
              'group' => 1,
4765
              'isClr' => 1,
4766
              'is_floating_block' => 1,
4767
              'period' => 1,
4768
              'type' => 'logic',
4769
              'valid_bit_used' => 0,
4770
            },
4771
            'direction' => 'in',
4772
            'hdlType' => 'std_logic',
4773
            'width' => 1,
4774
          },
4775
          'data_in' => {
4776
            'attributes' => {
4777
              'bin_pt' => 0,
4778
              'is_floating_block' => 1,
4779
              'must_be_hdl_vector' => 1,
4780
              'period' => 1,
4781
              'port_id' => 0,
4782
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/data_in',
4783
              'type' => 'Bool',
4784
            },
4785
            'direction' => 'in',
4786
            'hdlType' => 'std_logic_vector(0 downto 0)',
4787
            'width' => 1,
4788
          },
4789
          'dout' => {
4790
            'attributes' => {
4791
              'bin_pt' => 0,
4792
              'is_floating_block' => 1,
4793
              'must_be_hdl_vector' => 1,
4794
              'period' => 1,
4795
              'port_id' => 0,
4796
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/dout',
4797
              'type' => 'Bool',
4798
            },
4799
            'direction' => 'out',
4800
            'hdlType' => 'std_logic_vector(0 downto 0)',
4801
            'width' => 1,
4802
          },
4803
          'en' => {
4804
            'attributes' => {
4805
              'bin_pt' => 0,
4806
              'is_floating_block' => 1,
4807
              'must_be_hdl_vector' => 1,
4808
              'period' => 1,
4809
              'port_id' => 1,
4810
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register18/en',
4811
              'type' => 'Bool',
4812
            },
4813
            'direction' => 'in',
4814
            'hdlType' => 'std_logic_vector(0 downto 0)',
4815
            'width' => 1,
4816
          },
4817
        },
4818
      },
4819
      'entityName' => 'x_x43',
4820
    },
4821
    'to_register19' => {
4822
      'connections' => {
4823
        'ce' => 'ce_1_sg_x0',
4824
        'clk' => 'clk_1_sg_x0',
4825
        'clr' => [
4826
          'constant',
4827
          '\'0\'',
4828
        ],
4829
        'data_in' => 'data_in_x10_net',
4830
        'dout' => 'to_register19_dout_net',
4831
        'en' => 'constant6_op_net_x11',
4832
      },
4833
      'entity' => {
4834
        'attributes' => {
4835
          'generics' => [
4836
          ],
4837
          'is_floating_block' => 1,
4838
          'mask' => {
4839
            'Block_Handle' => 992.000122070313,
4840
            'Block_handle' => 992.000122070313,
4841
            'MDL_Handle' => 3.0009765625,
4842
            'MDL_handle' => 3.0009765625,
4843
            'arith_type' => 1,
4844
            'bin_pt' => 14,
4845
            'block_config' => 'sysgen_blockset:toreg_config',
4846
            'block_handle' => 992.000122070313,
4847
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19',
4848
            'block_type' => 'toreg',
4849
            'dbl_ovrd' => 0,
4850
            'explicit_data_type' => 0,
4851
            'init' => 0,
4852
            'init_bit_vector' => '00000000000000000000000000000000b',
4853
            'mdl_handle' => 3.0009765625,
4854
            'model_handle' => 3.0009765625,
4855
            'n_bits' => 16,
4856
            'ownership' => 1,
4857
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4858
            'shared_memory_name' => 'register10rd',
4859
          },
4860
          'needs_vhdl_wrapper' => 0,
4861
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19',
4862
        },
4863
        'entityName' => 'x_x44',
4864
        'ports' => {
4865
          'ce' => {
4866
            'attributes' => {
4867
              'domain' => '',
4868
              'group' => 1,
4869
              'isCe' => 1,
4870
              'is_floating_block' => 1,
4871
              'period' => 1,
4872
              'type' => 'logic',
4873
            },
4874
            'direction' => 'in',
4875
            'hdlType' => 'std_logic',
4876
            'width' => 1,
4877
          },
4878
          'clk' => {
4879
            'attributes' => {
4880
              'domain' => '',
4881
              'group' => 1,
4882
              'isClk' => 1,
4883
              'is_floating_block' => 1,
4884
              'period' => 1,
4885
              'type' => 'logic',
4886
            },
4887
            'direction' => 'in',
4888
            'hdlType' => 'std_logic',
4889
            'width' => 1,
4890
          },
4891
          'clr' => {
4892
            'attributes' => {
4893
              'domain' => '',
4894
              'group' => 1,
4895
              'isClr' => 1,
4896
              'is_floating_block' => 1,
4897
              'period' => 1,
4898
              'type' => 'logic',
4899
              'valid_bit_used' => 0,
4900
            },
4901
            'direction' => 'in',
4902
            'hdlType' => 'std_logic',
4903
            'width' => 1,
4904
          },
4905
          'data_in' => {
4906
            'attributes' => {
4907
              'bin_pt' => 0,
4908
              'is_floating_block' => 1,
4909
              'must_be_hdl_vector' => 1,
4910
              'period' => 1,
4911
              'port_id' => 0,
4912
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/data_in',
4913
              'type' => 'UFix_32_0',
4914
            },
4915
            'direction' => 'in',
4916
            'hdlType' => 'std_logic_vector(31 downto 0)',
4917
            'width' => 32,
4918
          },
4919
          'dout' => {
4920
            'attributes' => {
4921
              'bin_pt' => 0,
4922
              'is_floating_block' => 1,
4923
              'must_be_hdl_vector' => 1,
4924
              'period' => 1,
4925
              'port_id' => 0,
4926
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/dout',
4927
              'type' => 'UFix_32_0',
4928
            },
4929
            'direction' => 'out',
4930
            'hdlType' => 'std_logic_vector(31 downto 0)',
4931
            'width' => 32,
4932
          },
4933
          'en' => {
4934
            'attributes' => {
4935
              'bin_pt' => 0,
4936
              'is_floating_block' => 1,
4937
              'must_be_hdl_vector' => 1,
4938
              'period' => 1,
4939
              'port_id' => 1,
4940
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register19/en',
4941
              'type' => 'Bool',
4942
            },
4943
            'direction' => 'in',
4944
            'hdlType' => 'std_logic_vector(0 downto 0)',
4945
            'width' => 1,
4946
          },
4947
        },
4948
      },
4949
      'entityName' => 'x_x44',
4950
    },
4951
    'to_register2' => {
4952
      'connections' => {
4953
        'ce' => 'ce_1_sg_x0',
4954
        'clk' => 'clk_1_sg_x0',
4955
        'clr' => [
4956
          'constant',
4957
          '\'0\'',
4958
        ],
4959
        'data_in' => 'data_in_x11_net',
4960
        'dout' => 'to_register2_dout_net',
4961
        'en' => 'constant6_op_net_x12',
4962
      },
4963
      'entity' => {
4964
        'attributes' => {
4965
          'generics' => [
4966
          ],
4967
          'is_floating_block' => 1,
4968
          'mask' => {
4969
            'Block_Handle' => 993.000122070313,
4970
            'Block_handle' => 993.000122070313,
4971
            'MDL_Handle' => 3.0009765625,
4972
            'MDL_handle' => 3.0009765625,
4973
            'arith_type' => 1,
4974
            'bin_pt' => 14,
4975
            'block_config' => 'sysgen_blockset:toreg_config',
4976
            'block_handle' => 993.000122070313,
4977
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2',
4978
            'block_type' => 'toreg',
4979
            'dbl_ovrd' => 0,
4980
            'explicit_data_type' => 0,
4981
            'init' => 0,
4982
            'init_bit_vector' => '00000000000000000000000000000000b',
4983
            'mdl_handle' => 3.0009765625,
4984
            'model_handle' => 3.0009765625,
4985
            'n_bits' => 16,
4986
            'ownership' => 1,
4987
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
4988
            'shared_memory_name' => 'register02rd',
4989
          },
4990
          'needs_vhdl_wrapper' => 0,
4991
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2',
4992
        },
4993
        'entityName' => 'x_x45',
4994
        'ports' => {
4995
          'ce' => {
4996
            'attributes' => {
4997
              'domain' => '',
4998
              'group' => 1,
4999
              'isCe' => 1,
5000
              'is_floating_block' => 1,
5001
              'period' => 1,
5002
              'type' => 'logic',
5003
            },
5004
            'direction' => 'in',
5005
            'hdlType' => 'std_logic',
5006
            'width' => 1,
5007
          },
5008
          'clk' => {
5009
            'attributes' => {
5010
              'domain' => '',
5011
              'group' => 1,
5012
              'isClk' => 1,
5013
              'is_floating_block' => 1,
5014
              'period' => 1,
5015
              'type' => 'logic',
5016
            },
5017
            'direction' => 'in',
5018
            'hdlType' => 'std_logic',
5019
            'width' => 1,
5020
          },
5021
          'clr' => {
5022
            'attributes' => {
5023
              'domain' => '',
5024
              'group' => 1,
5025
              'isClr' => 1,
5026
              'is_floating_block' => 1,
5027
              'period' => 1,
5028
              'type' => 'logic',
5029
              'valid_bit_used' => 0,
5030
            },
5031
            'direction' => 'in',
5032
            'hdlType' => 'std_logic',
5033
            'width' => 1,
5034
          },
5035
          'data_in' => {
5036
            'attributes' => {
5037
              'bin_pt' => 0,
5038
              'is_floating_block' => 1,
5039
              'must_be_hdl_vector' => 1,
5040
              'period' => 1,
5041
              'port_id' => 0,
5042
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/data_in',
5043
              'type' => 'UFix_32_0',
5044
            },
5045
            'direction' => 'in',
5046
            'hdlType' => 'std_logic_vector(31 downto 0)',
5047
            'width' => 32,
5048
          },
5049
          'dout' => {
5050
            'attributes' => {
5051
              'bin_pt' => 0,
5052
              'is_floating_block' => 1,
5053
              'must_be_hdl_vector' => 1,
5054
              'period' => 1,
5055
              'port_id' => 0,
5056
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/dout',
5057
              'type' => 'UFix_32_0',
5058
            },
5059
            'direction' => 'out',
5060
            'hdlType' => 'std_logic_vector(31 downto 0)',
5061
            'width' => 32,
5062
          },
5063
          'en' => {
5064
            'attributes' => {
5065
              'bin_pt' => 0,
5066
              'is_floating_block' => 1,
5067
              'must_be_hdl_vector' => 1,
5068
              'period' => 1,
5069
              'port_id' => 1,
5070
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register2/en',
5071
              'type' => 'Bool',
5072
            },
5073
            'direction' => 'in',
5074
            'hdlType' => 'std_logic_vector(0 downto 0)',
5075
            'width' => 1,
5076
          },
5077
        },
5078
      },
5079
      'entityName' => 'x_x45',
5080
    },
5081
    'to_register20' => {
5082
      'connections' => {
5083
        'ce' => 'ce_1_sg_x0',
5084
        'clk' => 'clk_1_sg_x0',
5085
        'clr' => [
5086
          'constant',
5087
          '\'0\'',
5088
        ],
5089
        'data_in' => 'data_in_x12_net',
5090
        'dout' => 'to_register20_dout_net',
5091
        'en' => 'constant6_op_net_x13',
5092
      },
5093
      'entity' => {
5094
        'attributes' => {
5095
          'generics' => [
5096
          ],
5097
          'is_floating_block' => 1,
5098
          'mask' => {
5099
            'Block_Handle' => 994.000122070313,
5100
            'Block_handle' => 994.000122070313,
5101
            'MDL_Handle' => 3.0009765625,
5102
            'MDL_handle' => 3.0009765625,
5103
            'arith_type' => 1,
5104
            'bin_pt' => 14,
5105
            'block_config' => 'sysgen_blockset:toreg_config',
5106
            'block_handle' => 994.000122070313,
5107
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20',
5108
            'block_type' => 'toreg',
5109
            'dbl_ovrd' => 0,
5110
            'explicit_data_type' => 0,
5111
            'init' => 0,
5112
            'init_bit_vector' => '0b',
5113
            'mdl_handle' => 3.0009765625,
5114
            'model_handle' => 3.0009765625,
5115
            'n_bits' => 16,
5116
            'ownership' => 1,
5117
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5118
            'shared_memory_name' => 'register11rv',
5119
          },
5120
          'needs_vhdl_wrapper' => 0,
5121
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20',
5122
        },
5123
        'entityName' => 'x_x46',
5124
        'ports' => {
5125
          'ce' => {
5126
            'attributes' => {
5127
              'domain' => '',
5128
              'group' => 1,
5129
              'isCe' => 1,
5130
              'is_floating_block' => 1,
5131
              'period' => 1,
5132
              'type' => 'logic',
5133
            },
5134
            'direction' => 'in',
5135
            'hdlType' => 'std_logic',
5136
            'width' => 1,
5137
          },
5138
          'clk' => {
5139
            'attributes' => {
5140
              'domain' => '',
5141
              'group' => 1,
5142
              'isClk' => 1,
5143
              'is_floating_block' => 1,
5144
              'period' => 1,
5145
              'type' => 'logic',
5146
            },
5147
            'direction' => 'in',
5148
            'hdlType' => 'std_logic',
5149
            'width' => 1,
5150
          },
5151
          'clr' => {
5152
            'attributes' => {
5153
              'domain' => '',
5154
              'group' => 1,
5155
              'isClr' => 1,
5156
              'is_floating_block' => 1,
5157
              'period' => 1,
5158
              'type' => 'logic',
5159
              'valid_bit_used' => 0,
5160
            },
5161
            'direction' => 'in',
5162
            'hdlType' => 'std_logic',
5163
            'width' => 1,
5164
          },
5165
          'data_in' => {
5166
            'attributes' => {
5167
              'bin_pt' => 0,
5168
              'is_floating_block' => 1,
5169
              'must_be_hdl_vector' => 1,
5170
              'period' => 1,
5171
              'port_id' => 0,
5172
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/data_in',
5173
              'type' => 'Bool',
5174
            },
5175
            'direction' => 'in',
5176
            'hdlType' => 'std_logic_vector(0 downto 0)',
5177
            'width' => 1,
5178
          },
5179
          'dout' => {
5180
            'attributes' => {
5181
              'bin_pt' => 0,
5182
              'is_floating_block' => 1,
5183
              'must_be_hdl_vector' => 1,
5184
              'period' => 1,
5185
              'port_id' => 0,
5186
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/dout',
5187
              'type' => 'Bool',
5188
            },
5189
            'direction' => 'out',
5190
            'hdlType' => 'std_logic_vector(0 downto 0)',
5191
            'width' => 1,
5192
          },
5193
          'en' => {
5194
            'attributes' => {
5195
              'bin_pt' => 0,
5196
              'is_floating_block' => 1,
5197
              'must_be_hdl_vector' => 1,
5198
              'period' => 1,
5199
              'port_id' => 1,
5200
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register20/en',
5201
              'type' => 'Bool',
5202
            },
5203
            'direction' => 'in',
5204
            'hdlType' => 'std_logic_vector(0 downto 0)',
5205
            'width' => 1,
5206
          },
5207
        },
5208
      },
5209
      'entityName' => 'x_x46',
5210
    },
5211
    'to_register21' => {
5212
      'connections' => {
5213
        'ce' => 'ce_1_sg_x0',
5214
        'clk' => 'clk_1_sg_x0',
5215
        'clr' => [
5216
          'constant',
5217
          '\'0\'',
5218
        ],
5219
        'data_in' => 'data_in_x13_net',
5220
        'dout' => 'to_register21_dout_net',
5221
        'en' => 'constant6_op_net_x14',
5222
      },
5223
      'entity' => {
5224
        'attributes' => {
5225
          'generics' => [
5226
          ],
5227
          'is_floating_block' => 1,
5228
          'mask' => {
5229
            'Block_Handle' => 995.000122070313,
5230
            'Block_handle' => 995.000122070313,
5231
            'MDL_Handle' => 3.0009765625,
5232
            'MDL_handle' => 3.0009765625,
5233
            'arith_type' => 1,
5234
            'bin_pt' => 14,
5235
            'block_config' => 'sysgen_blockset:toreg_config',
5236
            'block_handle' => 995.000122070313,
5237
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21',
5238
            'block_type' => 'toreg',
5239
            'dbl_ovrd' => 0,
5240
            'explicit_data_type' => 0,
5241
            'init' => 0,
5242
            'init_bit_vector' => '00000000000000000000000000000000b',
5243
            'mdl_handle' => 3.0009765625,
5244
            'model_handle' => 3.0009765625,
5245
            'n_bits' => 16,
5246
            'ownership' => 1,
5247
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5248
            'shared_memory_name' => 'register11rd',
5249
          },
5250
          'needs_vhdl_wrapper' => 0,
5251
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21',
5252
        },
5253
        'entityName' => 'x_x47',
5254
        'ports' => {
5255
          'ce' => {
5256
            'attributes' => {
5257
              'domain' => '',
5258
              'group' => 1,
5259
              'isCe' => 1,
5260
              'is_floating_block' => 1,
5261
              'period' => 1,
5262
              'type' => 'logic',
5263
            },
5264
            'direction' => 'in',
5265
            'hdlType' => 'std_logic',
5266
            'width' => 1,
5267
          },
5268
          'clk' => {
5269
            'attributes' => {
5270
              'domain' => '',
5271
              'group' => 1,
5272
              'isClk' => 1,
5273
              'is_floating_block' => 1,
5274
              'period' => 1,
5275
              'type' => 'logic',
5276
            },
5277
            'direction' => 'in',
5278
            'hdlType' => 'std_logic',
5279
            'width' => 1,
5280
          },
5281
          'clr' => {
5282
            'attributes' => {
5283
              'domain' => '',
5284
              'group' => 1,
5285
              'isClr' => 1,
5286
              'is_floating_block' => 1,
5287
              'period' => 1,
5288
              'type' => 'logic',
5289
              'valid_bit_used' => 0,
5290
            },
5291
            'direction' => 'in',
5292
            'hdlType' => 'std_logic',
5293
            'width' => 1,
5294
          },
5295
          'data_in' => {
5296
            'attributes' => {
5297
              'bin_pt' => 0,
5298
              'is_floating_block' => 1,
5299
              'must_be_hdl_vector' => 1,
5300
              'period' => 1,
5301
              'port_id' => 0,
5302
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/data_in',
5303
              'type' => 'UFix_32_0',
5304
            },
5305
            'direction' => 'in',
5306
            'hdlType' => 'std_logic_vector(31 downto 0)',
5307
            'width' => 32,
5308
          },
5309
          'dout' => {
5310
            'attributes' => {
5311
              'bin_pt' => 0,
5312
              'is_floating_block' => 1,
5313
              'must_be_hdl_vector' => 1,
5314
              'period' => 1,
5315
              'port_id' => 0,
5316
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/dout',
5317
              'type' => 'UFix_32_0',
5318
            },
5319
            'direction' => 'out',
5320
            'hdlType' => 'std_logic_vector(31 downto 0)',
5321
            'width' => 32,
5322
          },
5323
          'en' => {
5324
            'attributes' => {
5325
              'bin_pt' => 0,
5326
              'is_floating_block' => 1,
5327
              'must_be_hdl_vector' => 1,
5328
              'period' => 1,
5329
              'port_id' => 1,
5330
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register21/en',
5331
              'type' => 'Bool',
5332
            },
5333
            'direction' => 'in',
5334
            'hdlType' => 'std_logic_vector(0 downto 0)',
5335
            'width' => 1,
5336
          },
5337
        },
5338
      },
5339
      'entityName' => 'x_x47',
5340
    },
5341
    'to_register22' => {
5342
      'connections' => {
5343
        'ce' => 'ce_1_sg_x0',
5344
        'clk' => 'clk_1_sg_x0',
5345
        'clr' => [
5346
          'constant',
5347
          '\'0\'',
5348
        ],
5349
        'data_in' => 'data_in_x14_net',
5350
        'dout' => 'to_register22_dout_net',
5351
        'en' => 'constant6_op_net_x15',
5352
      },
5353
      'entity' => {
5354
        'attributes' => {
5355
          'generics' => [
5356
          ],
5357
          'is_floating_block' => 1,
5358
          'mask' => {
5359
            'Block_Handle' => 996.000122070313,
5360
            'Block_handle' => 996.000122070313,
5361
            'MDL_Handle' => 3.0009765625,
5362
            'MDL_handle' => 3.0009765625,
5363
            'arith_type' => 1,
5364
            'bin_pt' => 14,
5365
            'block_config' => 'sysgen_blockset:toreg_config',
5366
            'block_handle' => 996.000122070313,
5367
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22',
5368
            'block_type' => 'toreg',
5369
            'dbl_ovrd' => 0,
5370
            'explicit_data_type' => 0,
5371
            'init' => 0,
5372
            'init_bit_vector' => '0b',
5373
            'mdl_handle' => 3.0009765625,
5374
            'model_handle' => 3.0009765625,
5375
            'n_bits' => 16,
5376
            'ownership' => 1,
5377
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5378
            'shared_memory_name' => 'register12rv',
5379
          },
5380
          'needs_vhdl_wrapper' => 0,
5381
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22',
5382
        },
5383
        'entityName' => 'x_x48',
5384
        'ports' => {
5385
          'ce' => {
5386
            'attributes' => {
5387
              'domain' => '',
5388
              'group' => 1,
5389
              'isCe' => 1,
5390
              'is_floating_block' => 1,
5391
              'period' => 1,
5392
              'type' => 'logic',
5393
            },
5394
            'direction' => 'in',
5395
            'hdlType' => 'std_logic',
5396
            'width' => 1,
5397
          },
5398
          'clk' => {
5399
            'attributes' => {
5400
              'domain' => '',
5401
              'group' => 1,
5402
              'isClk' => 1,
5403
              'is_floating_block' => 1,
5404
              'period' => 1,
5405
              'type' => 'logic',
5406
            },
5407
            'direction' => 'in',
5408
            'hdlType' => 'std_logic',
5409
            'width' => 1,
5410
          },
5411
          'clr' => {
5412
            'attributes' => {
5413
              'domain' => '',
5414
              'group' => 1,
5415
              'isClr' => 1,
5416
              'is_floating_block' => 1,
5417
              'period' => 1,
5418
              'type' => 'logic',
5419
              'valid_bit_used' => 0,
5420
            },
5421
            'direction' => 'in',
5422
            'hdlType' => 'std_logic',
5423
            'width' => 1,
5424
          },
5425
          'data_in' => {
5426
            'attributes' => {
5427
              'bin_pt' => 0,
5428
              'is_floating_block' => 1,
5429
              'must_be_hdl_vector' => 1,
5430
              'period' => 1,
5431
              'port_id' => 0,
5432
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/data_in',
5433
              'type' => 'Bool',
5434
            },
5435
            'direction' => 'in',
5436
            'hdlType' => 'std_logic_vector(0 downto 0)',
5437
            'width' => 1,
5438
          },
5439
          'dout' => {
5440
            'attributes' => {
5441
              'bin_pt' => 0,
5442
              'is_floating_block' => 1,
5443
              'must_be_hdl_vector' => 1,
5444
              'period' => 1,
5445
              'port_id' => 0,
5446
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/dout',
5447
              'type' => 'Bool',
5448
            },
5449
            'direction' => 'out',
5450
            'hdlType' => 'std_logic_vector(0 downto 0)',
5451
            'width' => 1,
5452
          },
5453
          'en' => {
5454
            'attributes' => {
5455
              'bin_pt' => 0,
5456
              'is_floating_block' => 1,
5457
              'must_be_hdl_vector' => 1,
5458
              'period' => 1,
5459
              'port_id' => 1,
5460
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register22/en',
5461
              'type' => 'Bool',
5462
            },
5463
            'direction' => 'in',
5464
            'hdlType' => 'std_logic_vector(0 downto 0)',
5465
            'width' => 1,
5466
          },
5467
        },
5468
      },
5469
      'entityName' => 'x_x48',
5470
    },
5471
    'to_register23' => {
5472
      'connections' => {
5473
        'ce' => 'ce_1_sg_x0',
5474
        'clk' => 'clk_1_sg_x0',
5475
        'clr' => [
5476
          'constant',
5477
          '\'0\'',
5478
        ],
5479
        'data_in' => 'data_in_x15_net',
5480
        'dout' => 'to_register23_dout_net',
5481
        'en' => 'constant6_op_net_x16',
5482
      },
5483
      'entity' => {
5484
        'attributes' => {
5485
          'generics' => [
5486
          ],
5487
          'is_floating_block' => 1,
5488
          'mask' => {
5489
            'Block_Handle' => 997.000122070313,
5490
            'Block_handle' => 997.000122070313,
5491
            'MDL_Handle' => 3.0009765625,
5492
            'MDL_handle' => 3.0009765625,
5493
            'arith_type' => 1,
5494
            'bin_pt' => 14,
5495
            'block_config' => 'sysgen_blockset:toreg_config',
5496
            'block_handle' => 997.000122070313,
5497
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23',
5498
            'block_type' => 'toreg',
5499
            'dbl_ovrd' => 0,
5500
            'explicit_data_type' => 0,
5501
            'init' => 0,
5502
            'init_bit_vector' => '00000000000000000000000000000000b',
5503
            'mdl_handle' => 3.0009765625,
5504
            'model_handle' => 3.0009765625,
5505
            'n_bits' => 16,
5506
            'ownership' => 1,
5507
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5508
            'shared_memory_name' => 'register12rd',
5509
          },
5510
          'needs_vhdl_wrapper' => 0,
5511
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23',
5512
        },
5513
        'entityName' => 'x_x49',
5514
        'ports' => {
5515
          'ce' => {
5516
            'attributes' => {
5517
              'domain' => '',
5518
              'group' => 1,
5519
              'isCe' => 1,
5520
              'is_floating_block' => 1,
5521
              'period' => 1,
5522
              'type' => 'logic',
5523
            },
5524
            'direction' => 'in',
5525
            'hdlType' => 'std_logic',
5526
            'width' => 1,
5527
          },
5528
          'clk' => {
5529
            'attributes' => {
5530
              'domain' => '',
5531
              'group' => 1,
5532
              'isClk' => 1,
5533
              'is_floating_block' => 1,
5534
              'period' => 1,
5535
              'type' => 'logic',
5536
            },
5537
            'direction' => 'in',
5538
            'hdlType' => 'std_logic',
5539
            'width' => 1,
5540
          },
5541
          'clr' => {
5542
            'attributes' => {
5543
              'domain' => '',
5544
              'group' => 1,
5545
              'isClr' => 1,
5546
              'is_floating_block' => 1,
5547
              'period' => 1,
5548
              'type' => 'logic',
5549
              'valid_bit_used' => 0,
5550
            },
5551
            'direction' => 'in',
5552
            'hdlType' => 'std_logic',
5553
            'width' => 1,
5554
          },
5555
          'data_in' => {
5556
            'attributes' => {
5557
              'bin_pt' => 0,
5558
              'is_floating_block' => 1,
5559
              'must_be_hdl_vector' => 1,
5560
              'period' => 1,
5561
              'port_id' => 0,
5562
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/data_in',
5563
              'type' => 'UFix_32_0',
5564
            },
5565
            'direction' => 'in',
5566
            'hdlType' => 'std_logic_vector(31 downto 0)',
5567
            'width' => 32,
5568
          },
5569
          'dout' => {
5570
            'attributes' => {
5571
              'bin_pt' => 0,
5572
              'is_floating_block' => 1,
5573
              'must_be_hdl_vector' => 1,
5574
              'period' => 1,
5575
              'port_id' => 0,
5576
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/dout',
5577
              'type' => 'UFix_32_0',
5578
            },
5579
            'direction' => 'out',
5580
            'hdlType' => 'std_logic_vector(31 downto 0)',
5581
            'width' => 32,
5582
          },
5583
          'en' => {
5584
            'attributes' => {
5585
              'bin_pt' => 0,
5586
              'is_floating_block' => 1,
5587
              'must_be_hdl_vector' => 1,
5588
              'period' => 1,
5589
              'port_id' => 1,
5590
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register23/en',
5591
              'type' => 'Bool',
5592
            },
5593
            'direction' => 'in',
5594
            'hdlType' => 'std_logic_vector(0 downto 0)',
5595
            'width' => 1,
5596
          },
5597
        },
5598
      },
5599
      'entityName' => 'x_x49',
5600
    },
5601
    'to_register24' => {
5602
      'connections' => {
5603
        'ce' => 'ce_1_sg_x0',
5604
        'clk' => 'clk_1_sg_x0',
5605
        'clr' => [
5606
          'constant',
5607
          '\'0\'',
5608
        ],
5609
        'data_in' => 'data_in_x16_net',
5610
        'dout' => 'to_register24_dout_net',
5611
        'en' => 'constant6_op_net_x17',
5612
      },
5613
      'entity' => {
5614
        'attributes' => {
5615
          'generics' => [
5616
          ],
5617
          'is_floating_block' => 1,
5618
          'mask' => {
5619
            'Block_Handle' => 998.000122070313,
5620
            'Block_handle' => 998.000122070313,
5621
            'MDL_Handle' => 3.0009765625,
5622
            'MDL_handle' => 3.0009765625,
5623
            'arith_type' => 1,
5624
            'bin_pt' => 14,
5625
            'block_config' => 'sysgen_blockset:toreg_config',
5626
            'block_handle' => 998.000122070313,
5627
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24',
5628
            'block_type' => 'toreg',
5629
            'dbl_ovrd' => 0,
5630
            'explicit_data_type' => 0,
5631
            'init' => 0,
5632
            'init_bit_vector' => '0b',
5633
            'mdl_handle' => 3.0009765625,
5634
            'model_handle' => 3.0009765625,
5635
            'n_bits' => 16,
5636
            'ownership' => 1,
5637
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5638
            'shared_memory_name' => 'register13rv',
5639
          },
5640
          'needs_vhdl_wrapper' => 0,
5641
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24',
5642
        },
5643
        'entityName' => 'x_x50',
5644
        'ports' => {
5645
          'ce' => {
5646
            'attributes' => {
5647
              'domain' => '',
5648
              'group' => 1,
5649
              'isCe' => 1,
5650
              'is_floating_block' => 1,
5651
              'period' => 1,
5652
              'type' => 'logic',
5653
            },
5654
            'direction' => 'in',
5655
            'hdlType' => 'std_logic',
5656
            'width' => 1,
5657
          },
5658
          'clk' => {
5659
            'attributes' => {
5660
              'domain' => '',
5661
              'group' => 1,
5662
              'isClk' => 1,
5663
              'is_floating_block' => 1,
5664
              'period' => 1,
5665
              'type' => 'logic',
5666
            },
5667
            'direction' => 'in',
5668
            'hdlType' => 'std_logic',
5669
            'width' => 1,
5670
          },
5671
          'clr' => {
5672
            'attributes' => {
5673
              'domain' => '',
5674
              'group' => 1,
5675
              'isClr' => 1,
5676
              'is_floating_block' => 1,
5677
              'period' => 1,
5678
              'type' => 'logic',
5679
              'valid_bit_used' => 0,
5680
            },
5681
            'direction' => 'in',
5682
            'hdlType' => 'std_logic',
5683
            'width' => 1,
5684
          },
5685
          'data_in' => {
5686
            'attributes' => {
5687
              'bin_pt' => 0,
5688
              'is_floating_block' => 1,
5689
              'must_be_hdl_vector' => 1,
5690
              'period' => 1,
5691
              'port_id' => 0,
5692
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/data_in',
5693
              'type' => 'Bool',
5694
            },
5695
            'direction' => 'in',
5696
            'hdlType' => 'std_logic_vector(0 downto 0)',
5697
            'width' => 1,
5698
          },
5699
          'dout' => {
5700
            'attributes' => {
5701
              'bin_pt' => 0,
5702
              'is_floating_block' => 1,
5703
              'must_be_hdl_vector' => 1,
5704
              'period' => 1,
5705
              'port_id' => 0,
5706
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/dout',
5707
              'type' => 'Bool',
5708
            },
5709
            'direction' => 'out',
5710
            'hdlType' => 'std_logic_vector(0 downto 0)',
5711
            'width' => 1,
5712
          },
5713
          'en' => {
5714
            'attributes' => {
5715
              'bin_pt' => 0,
5716
              'is_floating_block' => 1,
5717
              'must_be_hdl_vector' => 1,
5718
              'period' => 1,
5719
              'port_id' => 1,
5720
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register24/en',
5721
              'type' => 'Bool',
5722
            },
5723
            'direction' => 'in',
5724
            'hdlType' => 'std_logic_vector(0 downto 0)',
5725
            'width' => 1,
5726
          },
5727
        },
5728
      },
5729
      'entityName' => 'x_x50',
5730
    },
5731
    'to_register25' => {
5732
      'connections' => {
5733
        'ce' => 'ce_1_sg_x0',
5734
        'clk' => 'clk_1_sg_x0',
5735
        'clr' => [
5736
          'constant',
5737
          '\'0\'',
5738
        ],
5739
        'data_in' => 'data_in_x17_net',
5740
        'dout' => 'to_register25_dout_net',
5741
        'en' => 'constant6_op_net_x18',
5742
      },
5743
      'entity' => {
5744
        'attributes' => {
5745
          'generics' => [
5746
          ],
5747
          'is_floating_block' => 1,
5748
          'mask' => {
5749
            'Block_Handle' => 999.000122070313,
5750
            'Block_handle' => 999.000122070313,
5751
            'MDL_Handle' => 3.0009765625,
5752
            'MDL_handle' => 3.0009765625,
5753
            'arith_type' => 1,
5754
            'bin_pt' => 14,
5755
            'block_config' => 'sysgen_blockset:toreg_config',
5756
            'block_handle' => 999.000122070313,
5757
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25',
5758
            'block_type' => 'toreg',
5759
            'dbl_ovrd' => 0,
5760
            'explicit_data_type' => 0,
5761
            'init' => 0,
5762
            'init_bit_vector' => '00000000000000000000000000000000b',
5763
            'mdl_handle' => 3.0009765625,
5764
            'model_handle' => 3.0009765625,
5765
            'n_bits' => 16,
5766
            'ownership' => 1,
5767
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5768
            'shared_memory_name' => 'register13rd',
5769
          },
5770
          'needs_vhdl_wrapper' => 0,
5771
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25',
5772
        },
5773
        'entityName' => 'x_x51',
5774
        'ports' => {
5775
          'ce' => {
5776
            'attributes' => {
5777
              'domain' => '',
5778
              'group' => 1,
5779
              'isCe' => 1,
5780
              'is_floating_block' => 1,
5781
              'period' => 1,
5782
              'type' => 'logic',
5783
            },
5784
            'direction' => 'in',
5785
            'hdlType' => 'std_logic',
5786
            'width' => 1,
5787
          },
5788
          'clk' => {
5789
            'attributes' => {
5790
              'domain' => '',
5791
              'group' => 1,
5792
              'isClk' => 1,
5793
              'is_floating_block' => 1,
5794
              'period' => 1,
5795
              'type' => 'logic',
5796
            },
5797
            'direction' => 'in',
5798
            'hdlType' => 'std_logic',
5799
            'width' => 1,
5800
          },
5801
          'clr' => {
5802
            'attributes' => {
5803
              'domain' => '',
5804
              'group' => 1,
5805
              'isClr' => 1,
5806
              'is_floating_block' => 1,
5807
              'period' => 1,
5808
              'type' => 'logic',
5809
              'valid_bit_used' => 0,
5810
            },
5811
            'direction' => 'in',
5812
            'hdlType' => 'std_logic',
5813
            'width' => 1,
5814
          },
5815
          'data_in' => {
5816
            'attributes' => {
5817
              'bin_pt' => 0,
5818
              'is_floating_block' => 1,
5819
              'must_be_hdl_vector' => 1,
5820
              'period' => 1,
5821
              'port_id' => 0,
5822
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/data_in',
5823
              'type' => 'UFix_32_0',
5824
            },
5825
            'direction' => 'in',
5826
            'hdlType' => 'std_logic_vector(31 downto 0)',
5827
            'width' => 32,
5828
          },
5829
          'dout' => {
5830
            'attributes' => {
5831
              'bin_pt' => 0,
5832
              'is_floating_block' => 1,
5833
              'must_be_hdl_vector' => 1,
5834
              'period' => 1,
5835
              'port_id' => 0,
5836
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/dout',
5837
              'type' => 'UFix_32_0',
5838
            },
5839
            'direction' => 'out',
5840
            'hdlType' => 'std_logic_vector(31 downto 0)',
5841
            'width' => 32,
5842
          },
5843
          'en' => {
5844
            'attributes' => {
5845
              'bin_pt' => 0,
5846
              'is_floating_block' => 1,
5847
              'must_be_hdl_vector' => 1,
5848
              'period' => 1,
5849
              'port_id' => 1,
5850
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register25/en',
5851
              'type' => 'Bool',
5852
            },
5853
            'direction' => 'in',
5854
            'hdlType' => 'std_logic_vector(0 downto 0)',
5855
            'width' => 1,
5856
          },
5857
        },
5858
      },
5859
      'entityName' => 'x_x51',
5860
    },
5861
    'to_register26' => {
5862
      'connections' => {
5863
        'ce' => 'ce_1_sg_x0',
5864
        'clk' => 'clk_1_sg_x0',
5865
        'clr' => [
5866
          'constant',
5867
          '\'0\'',
5868
        ],
5869
        'data_in' => 'data_in_x18_net',
5870
        'dout' => 'to_register26_dout_net',
5871
        'en' => 'constant6_op_net_x19',
5872
      },
5873
      'entity' => {
5874
        'attributes' => {
5875
          'generics' => [
5876
          ],
5877
          'is_floating_block' => 1,
5878
          'mask' => {
5879
            'Block_Handle' => 1000.00012207031,
5880
            'Block_handle' => 1000.00012207031,
5881
            'MDL_Handle' => 3.0009765625,
5882
            'MDL_handle' => 3.0009765625,
5883
            'arith_type' => 1,
5884
            'bin_pt' => 14,
5885
            'block_config' => 'sysgen_blockset:toreg_config',
5886
            'block_handle' => 1000.00012207031,
5887
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26',
5888
            'block_type' => 'toreg',
5889
            'dbl_ovrd' => 0,
5890
            'explicit_data_type' => 0,
5891
            'init' => 0,
5892
            'init_bit_vector' => '0b',
5893
            'mdl_handle' => 3.0009765625,
5894
            'model_handle' => 3.0009765625,
5895
            'n_bits' => 16,
5896
            'ownership' => 1,
5897
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
5898
            'shared_memory_name' => 'register14rv',
5899
          },
5900
          'needs_vhdl_wrapper' => 0,
5901
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26',
5902
        },
5903
        'entityName' => 'x_x52',
5904
        'ports' => {
5905
          'ce' => {
5906
            'attributes' => {
5907
              'domain' => '',
5908
              'group' => 1,
5909
              'isCe' => 1,
5910
              'is_floating_block' => 1,
5911
              'period' => 1,
5912
              'type' => 'logic',
5913
            },
5914
            'direction' => 'in',
5915
            'hdlType' => 'std_logic',
5916
            'width' => 1,
5917
          },
5918
          'clk' => {
5919
            'attributes' => {
5920
              'domain' => '',
5921
              'group' => 1,
5922
              'isClk' => 1,
5923
              'is_floating_block' => 1,
5924
              'period' => 1,
5925
              'type' => 'logic',
5926
            },
5927
            'direction' => 'in',
5928
            'hdlType' => 'std_logic',
5929
            'width' => 1,
5930
          },
5931
          'clr' => {
5932
            'attributes' => {
5933
              'domain' => '',
5934
              'group' => 1,
5935
              'isClr' => 1,
5936
              'is_floating_block' => 1,
5937
              'period' => 1,
5938
              'type' => 'logic',
5939
              'valid_bit_used' => 0,
5940
            },
5941
            'direction' => 'in',
5942
            'hdlType' => 'std_logic',
5943
            'width' => 1,
5944
          },
5945
          'data_in' => {
5946
            'attributes' => {
5947
              'bin_pt' => 0,
5948
              'is_floating_block' => 1,
5949
              'must_be_hdl_vector' => 1,
5950
              'period' => 1,
5951
              'port_id' => 0,
5952
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/data_in',
5953
              'type' => 'Bool',
5954
            },
5955
            'direction' => 'in',
5956
            'hdlType' => 'std_logic_vector(0 downto 0)',
5957
            'width' => 1,
5958
          },
5959
          'dout' => {
5960
            'attributes' => {
5961
              'bin_pt' => 0,
5962
              'is_floating_block' => 1,
5963
              'must_be_hdl_vector' => 1,
5964
              'period' => 1,
5965
              'port_id' => 0,
5966
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/dout',
5967
              'type' => 'Bool',
5968
            },
5969
            'direction' => 'out',
5970
            'hdlType' => 'std_logic_vector(0 downto 0)',
5971
            'width' => 1,
5972
          },
5973
          'en' => {
5974
            'attributes' => {
5975
              'bin_pt' => 0,
5976
              'is_floating_block' => 1,
5977
              'must_be_hdl_vector' => 1,
5978
              'period' => 1,
5979
              'port_id' => 1,
5980
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register26/en',
5981
              'type' => 'Bool',
5982
            },
5983
            'direction' => 'in',
5984
            'hdlType' => 'std_logic_vector(0 downto 0)',
5985
            'width' => 1,
5986
          },
5987
        },
5988
      },
5989
      'entityName' => 'x_x52',
5990
    },
5991
    'to_register27' => {
5992
      'connections' => {
5993
        'ce' => 'ce_1_sg_x0',
5994
        'clk' => 'clk_1_sg_x0',
5995
        'clr' => [
5996
          'constant',
5997
          '\'0\'',
5998
        ],
5999
        'data_in' => 'data_in_x19_net',
6000
        'dout' => 'to_register27_dout_net',
6001
        'en' => 'constant6_op_net_x20',
6002
      },
6003
      'entity' => {
6004
        'attributes' => {
6005
          'generics' => [
6006
          ],
6007
          'is_floating_block' => 1,
6008
          'mask' => {
6009
            'Block_Handle' => 1001.00012207031,
6010
            'Block_handle' => 1001.00012207031,
6011
            'MDL_Handle' => 3.0009765625,
6012
            'MDL_handle' => 3.0009765625,
6013
            'arith_type' => 1,
6014
            'bin_pt' => 14,
6015
            'block_config' => 'sysgen_blockset:toreg_config',
6016
            'block_handle' => 1001.00012207031,
6017
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27',
6018
            'block_type' => 'toreg',
6019
            'dbl_ovrd' => 0,
6020
            'explicit_data_type' => 0,
6021
            'init' => 0,
6022
            'init_bit_vector' => '00000000000000000000000000000000b',
6023
            'mdl_handle' => 3.0009765625,
6024
            'model_handle' => 3.0009765625,
6025
            'n_bits' => 16,
6026
            'ownership' => 1,
6027
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6028
            'shared_memory_name' => 'register14rd',
6029
          },
6030
          'needs_vhdl_wrapper' => 0,
6031
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27',
6032
        },
6033
        'entityName' => 'x_x53',
6034
        'ports' => {
6035
          'ce' => {
6036
            'attributes' => {
6037
              'domain' => '',
6038
              'group' => 1,
6039
              'isCe' => 1,
6040
              'is_floating_block' => 1,
6041
              'period' => 1,
6042
              'type' => 'logic',
6043
            },
6044
            'direction' => 'in',
6045
            'hdlType' => 'std_logic',
6046
            'width' => 1,
6047
          },
6048
          'clk' => {
6049
            'attributes' => {
6050
              'domain' => '',
6051
              'group' => 1,
6052
              'isClk' => 1,
6053
              'is_floating_block' => 1,
6054
              'period' => 1,
6055
              'type' => 'logic',
6056
            },
6057
            'direction' => 'in',
6058
            'hdlType' => 'std_logic',
6059
            'width' => 1,
6060
          },
6061
          'clr' => {
6062
            'attributes' => {
6063
              'domain' => '',
6064
              'group' => 1,
6065
              'isClr' => 1,
6066
              'is_floating_block' => 1,
6067
              'period' => 1,
6068
              'type' => 'logic',
6069
              'valid_bit_used' => 0,
6070
            },
6071
            'direction' => 'in',
6072
            'hdlType' => 'std_logic',
6073
            'width' => 1,
6074
          },
6075
          'data_in' => {
6076
            'attributes' => {
6077
              'bin_pt' => 0,
6078
              'is_floating_block' => 1,
6079
              'must_be_hdl_vector' => 1,
6080
              'period' => 1,
6081
              'port_id' => 0,
6082
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/data_in',
6083
              'type' => 'UFix_32_0',
6084
            },
6085
            'direction' => 'in',
6086
            'hdlType' => 'std_logic_vector(31 downto 0)',
6087
            'width' => 32,
6088
          },
6089
          'dout' => {
6090
            'attributes' => {
6091
              'bin_pt' => 0,
6092
              'is_floating_block' => 1,
6093
              'must_be_hdl_vector' => 1,
6094
              'period' => 1,
6095
              'port_id' => 0,
6096
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/dout',
6097
              'type' => 'UFix_32_0',
6098
            },
6099
            'direction' => 'out',
6100
            'hdlType' => 'std_logic_vector(31 downto 0)',
6101
            'width' => 32,
6102
          },
6103
          'en' => {
6104
            'attributes' => {
6105
              'bin_pt' => 0,
6106
              'is_floating_block' => 1,
6107
              'must_be_hdl_vector' => 1,
6108
              'period' => 1,
6109
              'port_id' => 1,
6110
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register27/en',
6111
              'type' => 'Bool',
6112
            },
6113
            'direction' => 'in',
6114
            'hdlType' => 'std_logic_vector(0 downto 0)',
6115
            'width' => 1,
6116
          },
6117
        },
6118
      },
6119
      'entityName' => 'x_x53',
6120
    },
6121
    'to_register3' => {
6122
      'connections' => {
6123
        'ce' => 'ce_1_sg_x0',
6124
        'clk' => 'clk_1_sg_x0',
6125
        'clr' => [
6126
          'constant',
6127
          '\'0\'',
6128
        ],
6129
        'data_in' => 'data_in_x20_net',
6130
        'dout' => 'to_register3_dout_net',
6131
        'en' => 'constant6_op_net_x21',
6132
      },
6133
      'entity' => {
6134
        'attributes' => {
6135
          'generics' => [
6136
          ],
6137
          'is_floating_block' => 1,
6138
          'mask' => {
6139
            'Block_Handle' => 1002.00012207031,
6140
            'Block_handle' => 1002.00012207031,
6141
            'MDL_Handle' => 3.0009765625,
6142
            'MDL_handle' => 3.0009765625,
6143
            'arith_type' => 1,
6144
            'bin_pt' => 14,
6145
            'block_config' => 'sysgen_blockset:toreg_config',
6146
            'block_handle' => 1002.00012207031,
6147
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3',
6148
            'block_type' => 'toreg',
6149
            'dbl_ovrd' => 0,
6150
            'explicit_data_type' => 0,
6151
            'init' => 0,
6152
            'init_bit_vector' => '00000000000000000000000000000000b',
6153
            'mdl_handle' => 3.0009765625,
6154
            'model_handle' => 3.0009765625,
6155
            'n_bits' => 16,
6156
            'ownership' => 1,
6157
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6158
            'shared_memory_name' => 'register03rd',
6159
          },
6160
          'needs_vhdl_wrapper' => 0,
6161
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3',
6162
        },
6163
        'entityName' => 'x_x54',
6164
        'ports' => {
6165
          'ce' => {
6166
            'attributes' => {
6167
              'domain' => '',
6168
              'group' => 1,
6169
              'isCe' => 1,
6170
              'is_floating_block' => 1,
6171
              'period' => 1,
6172
              'type' => 'logic',
6173
            },
6174
            'direction' => 'in',
6175
            'hdlType' => 'std_logic',
6176
            'width' => 1,
6177
          },
6178
          'clk' => {
6179
            'attributes' => {
6180
              'domain' => '',
6181
              'group' => 1,
6182
              'isClk' => 1,
6183
              'is_floating_block' => 1,
6184
              'period' => 1,
6185
              'type' => 'logic',
6186
            },
6187
            'direction' => 'in',
6188
            'hdlType' => 'std_logic',
6189
            'width' => 1,
6190
          },
6191
          'clr' => {
6192
            'attributes' => {
6193
              'domain' => '',
6194
              'group' => 1,
6195
              'isClr' => 1,
6196
              'is_floating_block' => 1,
6197
              'period' => 1,
6198
              'type' => 'logic',
6199
              'valid_bit_used' => 0,
6200
            },
6201
            'direction' => 'in',
6202
            'hdlType' => 'std_logic',
6203
            'width' => 1,
6204
          },
6205
          'data_in' => {
6206
            'attributes' => {
6207
              'bin_pt' => 0,
6208
              'is_floating_block' => 1,
6209
              'must_be_hdl_vector' => 1,
6210
              'period' => 1,
6211
              'port_id' => 0,
6212
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/data_in',
6213
              'type' => 'UFix_32_0',
6214
            },
6215
            'direction' => 'in',
6216
            'hdlType' => 'std_logic_vector(31 downto 0)',
6217
            'width' => 32,
6218
          },
6219
          'dout' => {
6220
            'attributes' => {
6221
              'bin_pt' => 0,
6222
              'is_floating_block' => 1,
6223
              'must_be_hdl_vector' => 1,
6224
              'period' => 1,
6225
              'port_id' => 0,
6226
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/dout',
6227
              'type' => 'UFix_32_0',
6228
            },
6229
            'direction' => 'out',
6230
            'hdlType' => 'std_logic_vector(31 downto 0)',
6231
            'width' => 32,
6232
          },
6233
          'en' => {
6234
            'attributes' => {
6235
              'bin_pt' => 0,
6236
              'is_floating_block' => 1,
6237
              'must_be_hdl_vector' => 1,
6238
              'period' => 1,
6239
              'port_id' => 1,
6240
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register3/en',
6241
              'type' => 'Bool',
6242
            },
6243
            'direction' => 'in',
6244
            'hdlType' => 'std_logic_vector(0 downto 0)',
6245
            'width' => 1,
6246
          },
6247
        },
6248
      },
6249
      'entityName' => 'x_x54',
6250
    },
6251
    'to_register4' => {
6252
      'connections' => {
6253
        'ce' => 'ce_1_sg_x0',
6254
        'clk' => 'clk_1_sg_x0',
6255
        'clr' => [
6256
          'constant',
6257
          '\'0\'',
6258
        ],
6259
        'data_in' => 'data_in_x21_net',
6260
        'dout' => 'to_register4_dout_net',
6261
        'en' => 'constant6_op_net_x22',
6262
      },
6263
      'entity' => {
6264
        'attributes' => {
6265
          'generics' => [
6266
          ],
6267
          'is_floating_block' => 1,
6268
          'mask' => {
6269
            'Block_Handle' => 1003.00012207031,
6270
            'Block_handle' => 1003.00012207031,
6271
            'MDL_Handle' => 3.0009765625,
6272
            'MDL_handle' => 3.0009765625,
6273
            'arith_type' => 1,
6274
            'bin_pt' => 14,
6275
            'block_config' => 'sysgen_blockset:toreg_config',
6276
            'block_handle' => 1003.00012207031,
6277
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4',
6278
            'block_type' => 'toreg',
6279
            'dbl_ovrd' => 0,
6280
            'explicit_data_type' => 0,
6281
            'init' => 0,
6282
            'init_bit_vector' => '0b',
6283
            'mdl_handle' => 3.0009765625,
6284
            'model_handle' => 3.0009765625,
6285
            'n_bits' => 16,
6286
            'ownership' => 1,
6287
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6288
            'shared_memory_name' => 'register02rv',
6289
          },
6290
          'needs_vhdl_wrapper' => 0,
6291
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4',
6292
        },
6293
        'entityName' => 'x_x55',
6294
        'ports' => {
6295
          'ce' => {
6296
            'attributes' => {
6297
              'domain' => '',
6298
              'group' => 1,
6299
              'isCe' => 1,
6300
              'is_floating_block' => 1,
6301
              'period' => 1,
6302
              'type' => 'logic',
6303
            },
6304
            'direction' => 'in',
6305
            'hdlType' => 'std_logic',
6306
            'width' => 1,
6307
          },
6308
          'clk' => {
6309
            'attributes' => {
6310
              'domain' => '',
6311
              'group' => 1,
6312
              'isClk' => 1,
6313
              'is_floating_block' => 1,
6314
              'period' => 1,
6315
              'type' => 'logic',
6316
            },
6317
            'direction' => 'in',
6318
            'hdlType' => 'std_logic',
6319
            'width' => 1,
6320
          },
6321
          'clr' => {
6322
            'attributes' => {
6323
              'domain' => '',
6324
              'group' => 1,
6325
              'isClr' => 1,
6326
              'is_floating_block' => 1,
6327
              'period' => 1,
6328
              'type' => 'logic',
6329
              'valid_bit_used' => 0,
6330
            },
6331
            'direction' => 'in',
6332
            'hdlType' => 'std_logic',
6333
            'width' => 1,
6334
          },
6335
          'data_in' => {
6336
            'attributes' => {
6337
              'bin_pt' => 0,
6338
              'is_floating_block' => 1,
6339
              'must_be_hdl_vector' => 1,
6340
              'period' => 1,
6341
              'port_id' => 0,
6342
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/data_in',
6343
              'type' => 'Bool',
6344
            },
6345
            'direction' => 'in',
6346
            'hdlType' => 'std_logic_vector(0 downto 0)',
6347
            'width' => 1,
6348
          },
6349
          'dout' => {
6350
            'attributes' => {
6351
              'bin_pt' => 0,
6352
              'is_floating_block' => 1,
6353
              'must_be_hdl_vector' => 1,
6354
              'period' => 1,
6355
              'port_id' => 0,
6356
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/dout',
6357
              'type' => 'Bool',
6358
            },
6359
            'direction' => 'out',
6360
            'hdlType' => 'std_logic_vector(0 downto 0)',
6361
            'width' => 1,
6362
          },
6363
          'en' => {
6364
            'attributes' => {
6365
              'bin_pt' => 0,
6366
              'is_floating_block' => 1,
6367
              'must_be_hdl_vector' => 1,
6368
              'period' => 1,
6369
              'port_id' => 1,
6370
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register4/en',
6371
              'type' => 'Bool',
6372
            },
6373
            'direction' => 'in',
6374
            'hdlType' => 'std_logic_vector(0 downto 0)',
6375
            'width' => 1,
6376
          },
6377
        },
6378
      },
6379
      'entityName' => 'x_x55',
6380
    },
6381
    'to_register5' => {
6382
      'connections' => {
6383
        'ce' => 'ce_1_sg_x0',
6384
        'clk' => 'clk_1_sg_x0',
6385
        'clr' => [
6386
          'constant',
6387
          '\'0\'',
6388
        ],
6389
        'data_in' => 'data_in_x22_net',
6390
        'dout' => 'to_register5_dout_net',
6391
        'en' => 'constant6_op_net_x23',
6392
      },
6393
      'entity' => {
6394
        'attributes' => {
6395
          'generics' => [
6396
          ],
6397
          'is_floating_block' => 1,
6398
          'mask' => {
6399
            'Block_Handle' => 1004.00012207031,
6400
            'Block_handle' => 1004.00012207031,
6401
            'MDL_Handle' => 3.0009765625,
6402
            'MDL_handle' => 3.0009765625,
6403
            'arith_type' => 1,
6404
            'bin_pt' => 14,
6405
            'block_config' => 'sysgen_blockset:toreg_config',
6406
            'block_handle' => 1004.00012207031,
6407
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5',
6408
            'block_type' => 'toreg',
6409
            'dbl_ovrd' => 0,
6410
            'explicit_data_type' => 0,
6411
            'init' => 0,
6412
            'init_bit_vector' => '0b',
6413
            'mdl_handle' => 3.0009765625,
6414
            'model_handle' => 3.0009765625,
6415
            'n_bits' => 16,
6416
            'ownership' => 1,
6417
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6418
            'shared_memory_name' => 'register03rv',
6419
          },
6420
          'needs_vhdl_wrapper' => 0,
6421
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5',
6422
        },
6423
        'entityName' => 'x_x56',
6424
        'ports' => {
6425
          'ce' => {
6426
            'attributes' => {
6427
              'domain' => '',
6428
              'group' => 1,
6429
              'isCe' => 1,
6430
              'is_floating_block' => 1,
6431
              'period' => 1,
6432
              'type' => 'logic',
6433
            },
6434
            'direction' => 'in',
6435
            'hdlType' => 'std_logic',
6436
            'width' => 1,
6437
          },
6438
          'clk' => {
6439
            'attributes' => {
6440
              'domain' => '',
6441
              'group' => 1,
6442
              'isClk' => 1,
6443
              'is_floating_block' => 1,
6444
              'period' => 1,
6445
              'type' => 'logic',
6446
            },
6447
            'direction' => 'in',
6448
            'hdlType' => 'std_logic',
6449
            'width' => 1,
6450
          },
6451
          'clr' => {
6452
            'attributes' => {
6453
              'domain' => '',
6454
              'group' => 1,
6455
              'isClr' => 1,
6456
              'is_floating_block' => 1,
6457
              'period' => 1,
6458
              'type' => 'logic',
6459
              'valid_bit_used' => 0,
6460
            },
6461
            'direction' => 'in',
6462
            'hdlType' => 'std_logic',
6463
            'width' => 1,
6464
          },
6465
          'data_in' => {
6466
            'attributes' => {
6467
              'bin_pt' => 0,
6468
              'is_floating_block' => 1,
6469
              'must_be_hdl_vector' => 1,
6470
              'period' => 1,
6471
              'port_id' => 0,
6472
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/data_in',
6473
              'type' => 'Bool',
6474
            },
6475
            'direction' => 'in',
6476
            'hdlType' => 'std_logic_vector(0 downto 0)',
6477
            'width' => 1,
6478
          },
6479
          'dout' => {
6480
            'attributes' => {
6481
              'bin_pt' => 0,
6482
              'is_floating_block' => 1,
6483
              'must_be_hdl_vector' => 1,
6484
              'period' => 1,
6485
              'port_id' => 0,
6486
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/dout',
6487
              'type' => 'Bool',
6488
            },
6489
            'direction' => 'out',
6490
            'hdlType' => 'std_logic_vector(0 downto 0)',
6491
            'width' => 1,
6492
          },
6493
          'en' => {
6494
            'attributes' => {
6495
              'bin_pt' => 0,
6496
              'is_floating_block' => 1,
6497
              'must_be_hdl_vector' => 1,
6498
              'period' => 1,
6499
              'port_id' => 1,
6500
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register5/en',
6501
              'type' => 'Bool',
6502
            },
6503
            'direction' => 'in',
6504
            'hdlType' => 'std_logic_vector(0 downto 0)',
6505
            'width' => 1,
6506
          },
6507
        },
6508
      },
6509
      'entityName' => 'x_x56',
6510
    },
6511
    'to_register6' => {
6512
      'connections' => {
6513
        'ce' => 'ce_1_sg_x0',
6514
        'clk' => 'clk_1_sg_x0',
6515
        'clr' => [
6516
          'constant',
6517
          '\'0\'',
6518
        ],
6519
        'data_in' => 'data_in_x23_net',
6520
        'dout' => 'to_register6_dout_net',
6521
        'en' => 'constant6_op_net_x24',
6522
      },
6523
      'entity' => {
6524
        'attributes' => {
6525
          'generics' => [
6526
          ],
6527
          'is_floating_block' => 1,
6528
          'mask' => {
6529
            'Block_Handle' => 1005.00012207031,
6530
            'Block_handle' => 1005.00012207031,
6531
            'MDL_Handle' => 3.0009765625,
6532
            'MDL_handle' => 3.0009765625,
6533
            'arith_type' => 1,
6534
            'bin_pt' => 14,
6535
            'block_config' => 'sysgen_blockset:toreg_config',
6536
            'block_handle' => 1005.00012207031,
6537
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6',
6538
            'block_type' => 'toreg',
6539
            'dbl_ovrd' => 0,
6540
            'explicit_data_type' => 0,
6541
            'init' => 0,
6542
            'init_bit_vector' => '00000000000000000000000000000000b',
6543
            'mdl_handle' => 3.0009765625,
6544
            'model_handle' => 3.0009765625,
6545
            'n_bits' => 16,
6546
            'ownership' => 1,
6547
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6548
            'shared_memory_name' => 'register04rd',
6549
          },
6550
          'needs_vhdl_wrapper' => 0,
6551
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6',
6552
        },
6553
        'entityName' => 'x_x57',
6554
        'ports' => {
6555
          'ce' => {
6556
            'attributes' => {
6557
              'domain' => '',
6558
              'group' => 1,
6559
              'isCe' => 1,
6560
              'is_floating_block' => 1,
6561
              'period' => 1,
6562
              'type' => 'logic',
6563
            },
6564
            'direction' => 'in',
6565
            'hdlType' => 'std_logic',
6566
            'width' => 1,
6567
          },
6568
          'clk' => {
6569
            'attributes' => {
6570
              'domain' => '',
6571
              'group' => 1,
6572
              'isClk' => 1,
6573
              'is_floating_block' => 1,
6574
              'period' => 1,
6575
              'type' => 'logic',
6576
            },
6577
            'direction' => 'in',
6578
            'hdlType' => 'std_logic',
6579
            'width' => 1,
6580
          },
6581
          'clr' => {
6582
            'attributes' => {
6583
              'domain' => '',
6584
              'group' => 1,
6585
              'isClr' => 1,
6586
              'is_floating_block' => 1,
6587
              'period' => 1,
6588
              'type' => 'logic',
6589
              'valid_bit_used' => 0,
6590
            },
6591
            'direction' => 'in',
6592
            'hdlType' => 'std_logic',
6593
            'width' => 1,
6594
          },
6595
          'data_in' => {
6596
            'attributes' => {
6597
              'bin_pt' => 0,
6598
              'is_floating_block' => 1,
6599
              'must_be_hdl_vector' => 1,
6600
              'period' => 1,
6601
              'port_id' => 0,
6602
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/data_in',
6603
              'type' => 'UFix_32_0',
6604
            },
6605
            'direction' => 'in',
6606
            'hdlType' => 'std_logic_vector(31 downto 0)',
6607
            'width' => 32,
6608
          },
6609
          'dout' => {
6610
            'attributes' => {
6611
              'bin_pt' => 0,
6612
              'is_floating_block' => 1,
6613
              'must_be_hdl_vector' => 1,
6614
              'period' => 1,
6615
              'port_id' => 0,
6616
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/dout',
6617
              'type' => 'UFix_32_0',
6618
            },
6619
            'direction' => 'out',
6620
            'hdlType' => 'std_logic_vector(31 downto 0)',
6621
            'width' => 32,
6622
          },
6623
          'en' => {
6624
            'attributes' => {
6625
              'bin_pt' => 0,
6626
              'is_floating_block' => 1,
6627
              'must_be_hdl_vector' => 1,
6628
              'period' => 1,
6629
              'port_id' => 1,
6630
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register6/en',
6631
              'type' => 'Bool',
6632
            },
6633
            'direction' => 'in',
6634
            'hdlType' => 'std_logic_vector(0 downto 0)',
6635
            'width' => 1,
6636
          },
6637
        },
6638
      },
6639
      'entityName' => 'x_x57',
6640
    },
6641
    'to_register7' => {
6642
      'connections' => {
6643
        'ce' => 'ce_1_sg_x0',
6644
        'clk' => 'clk_1_sg_x0',
6645
        'clr' => [
6646
          'constant',
6647
          '\'0\'',
6648
        ],
6649
        'data_in' => 'data_in_x24_net',
6650
        'dout' => 'to_register7_dout_net',
6651
        'en' => 'constant6_op_net_x25',
6652
      },
6653
      'entity' => {
6654
        'attributes' => {
6655
          'generics' => [
6656
          ],
6657
          'is_floating_block' => 1,
6658
          'mask' => {
6659
            'Block_Handle' => 1006.00012207031,
6660
            'Block_handle' => 1006.00012207031,
6661
            'MDL_Handle' => 3.0009765625,
6662
            'MDL_handle' => 3.0009765625,
6663
            'arith_type' => 1,
6664
            'bin_pt' => 14,
6665
            'block_config' => 'sysgen_blockset:toreg_config',
6666
            'block_handle' => 1006.00012207031,
6667
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7',
6668
            'block_type' => 'toreg',
6669
            'dbl_ovrd' => 0,
6670
            'explicit_data_type' => 0,
6671
            'init' => 0,
6672
            'init_bit_vector' => '0b',
6673
            'mdl_handle' => 3.0009765625,
6674
            'model_handle' => 3.0009765625,
6675
            'n_bits' => 16,
6676
            'ownership' => 1,
6677
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6678
            'shared_memory_name' => 'register04rv',
6679
          },
6680
          'needs_vhdl_wrapper' => 0,
6681
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7',
6682
        },
6683
        'entityName' => 'x_x58',
6684
        'ports' => {
6685
          'ce' => {
6686
            'attributes' => {
6687
              'domain' => '',
6688
              'group' => 1,
6689
              'isCe' => 1,
6690
              'is_floating_block' => 1,
6691
              'period' => 1,
6692
              'type' => 'logic',
6693
            },
6694
            'direction' => 'in',
6695
            'hdlType' => 'std_logic',
6696
            'width' => 1,
6697
          },
6698
          'clk' => {
6699
            'attributes' => {
6700
              'domain' => '',
6701
              'group' => 1,
6702
              'isClk' => 1,
6703
              'is_floating_block' => 1,
6704
              'period' => 1,
6705
              'type' => 'logic',
6706
            },
6707
            'direction' => 'in',
6708
            'hdlType' => 'std_logic',
6709
            'width' => 1,
6710
          },
6711
          'clr' => {
6712
            'attributes' => {
6713
              'domain' => '',
6714
              'group' => 1,
6715
              'isClr' => 1,
6716
              'is_floating_block' => 1,
6717
              'period' => 1,
6718
              'type' => 'logic',
6719
              'valid_bit_used' => 0,
6720
            },
6721
            'direction' => 'in',
6722
            'hdlType' => 'std_logic',
6723
            'width' => 1,
6724
          },
6725
          'data_in' => {
6726
            'attributes' => {
6727
              'bin_pt' => 0,
6728
              'is_floating_block' => 1,
6729
              'must_be_hdl_vector' => 1,
6730
              'period' => 1,
6731
              'port_id' => 0,
6732
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/data_in',
6733
              'type' => 'Bool',
6734
            },
6735
            'direction' => 'in',
6736
            'hdlType' => 'std_logic_vector(0 downto 0)',
6737
            'width' => 1,
6738
          },
6739
          'dout' => {
6740
            'attributes' => {
6741
              'bin_pt' => 0,
6742
              'is_floating_block' => 1,
6743
              'must_be_hdl_vector' => 1,
6744
              'period' => 1,
6745
              'port_id' => 0,
6746
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/dout',
6747
              'type' => 'Bool',
6748
            },
6749
            'direction' => 'out',
6750
            'hdlType' => 'std_logic_vector(0 downto 0)',
6751
            'width' => 1,
6752
          },
6753
          'en' => {
6754
            'attributes' => {
6755
              'bin_pt' => 0,
6756
              'is_floating_block' => 1,
6757
              'must_be_hdl_vector' => 1,
6758
              'period' => 1,
6759
              'port_id' => 1,
6760
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register7/en',
6761
              'type' => 'Bool',
6762
            },
6763
            'direction' => 'in',
6764
            'hdlType' => 'std_logic_vector(0 downto 0)',
6765
            'width' => 1,
6766
          },
6767
        },
6768
      },
6769
      'entityName' => 'x_x58',
6770
    },
6771
    'to_register8' => {
6772
      'connections' => {
6773
        'ce' => 'ce_1_sg_x0',
6774
        'clk' => 'clk_1_sg_x0',
6775
        'clr' => [
6776
          'constant',
6777
          '\'0\'',
6778
        ],
6779
        'data_in' => 'data_in_x25_net',
6780
        'dout' => 'to_register8_dout_net',
6781
        'en' => 'constant6_op_net_x26',
6782
      },
6783
      'entity' => {
6784
        'attributes' => {
6785
          'generics' => [
6786
          ],
6787
          'is_floating_block' => 1,
6788
          'mask' => {
6789
            'Block_Handle' => 1007.00012207031,
6790
            'Block_handle' => 1007.00012207031,
6791
            'MDL_Handle' => 3.0009765625,
6792
            'MDL_handle' => 3.0009765625,
6793
            'arith_type' => 1,
6794
            'bin_pt' => 14,
6795
            'block_config' => 'sysgen_blockset:toreg_config',
6796
            'block_handle' => 1007.00012207031,
6797
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8',
6798
            'block_type' => 'toreg',
6799
            'dbl_ovrd' => 0,
6800
            'explicit_data_type' => 0,
6801
            'init' => 0,
6802
            'init_bit_vector' => '00000000000000000000000000000000b',
6803
            'mdl_handle' => 3.0009765625,
6804
            'model_handle' => 3.0009765625,
6805
            'n_bits' => 16,
6806
            'ownership' => 1,
6807
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6808
            'shared_memory_name' => 'register05rd',
6809
          },
6810
          'needs_vhdl_wrapper' => 0,
6811
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8',
6812
        },
6813
        'entityName' => 'x_x59',
6814
        'ports' => {
6815
          'ce' => {
6816
            'attributes' => {
6817
              'domain' => '',
6818
              'group' => 1,
6819
              'isCe' => 1,
6820
              'is_floating_block' => 1,
6821
              'period' => 1,
6822
              'type' => 'logic',
6823
            },
6824
            'direction' => 'in',
6825
            'hdlType' => 'std_logic',
6826
            'width' => 1,
6827
          },
6828
          'clk' => {
6829
            'attributes' => {
6830
              'domain' => '',
6831
              'group' => 1,
6832
              'isClk' => 1,
6833
              'is_floating_block' => 1,
6834
              'period' => 1,
6835
              'type' => 'logic',
6836
            },
6837
            'direction' => 'in',
6838
            'hdlType' => 'std_logic',
6839
            'width' => 1,
6840
          },
6841
          'clr' => {
6842
            'attributes' => {
6843
              'domain' => '',
6844
              'group' => 1,
6845
              'isClr' => 1,
6846
              'is_floating_block' => 1,
6847
              'period' => 1,
6848
              'type' => 'logic',
6849
              'valid_bit_used' => 0,
6850
            },
6851
            'direction' => 'in',
6852
            'hdlType' => 'std_logic',
6853
            'width' => 1,
6854
          },
6855
          'data_in' => {
6856
            'attributes' => {
6857
              'bin_pt' => 0,
6858
              'is_floating_block' => 1,
6859
              'must_be_hdl_vector' => 1,
6860
              'period' => 1,
6861
              'port_id' => 0,
6862
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/data_in',
6863
              'type' => 'UFix_32_0',
6864
            },
6865
            'direction' => 'in',
6866
            'hdlType' => 'std_logic_vector(31 downto 0)',
6867
            'width' => 32,
6868
          },
6869
          'dout' => {
6870
            'attributes' => {
6871
              'bin_pt' => 0,
6872
              'is_floating_block' => 1,
6873
              'must_be_hdl_vector' => 1,
6874
              'period' => 1,
6875
              'port_id' => 0,
6876
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/dout',
6877
              'type' => 'UFix_32_0',
6878
            },
6879
            'direction' => 'out',
6880
            'hdlType' => 'std_logic_vector(31 downto 0)',
6881
            'width' => 32,
6882
          },
6883
          'en' => {
6884
            'attributes' => {
6885
              'bin_pt' => 0,
6886
              'is_floating_block' => 1,
6887
              'must_be_hdl_vector' => 1,
6888
              'period' => 1,
6889
              'port_id' => 1,
6890
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register8/en',
6891
              'type' => 'Bool',
6892
            },
6893
            'direction' => 'in',
6894
            'hdlType' => 'std_logic_vector(0 downto 0)',
6895
            'width' => 1,
6896
          },
6897
        },
6898
      },
6899
      'entityName' => 'x_x59',
6900
    },
6901
    'to_register9' => {
6902
      'connections' => {
6903
        'ce' => 'ce_1_sg_x0',
6904
        'clk' => 'clk_1_sg_x0',
6905
        'clr' => [
6906
          'constant',
6907
          '\'0\'',
6908
        ],
6909
        'data_in' => 'data_in_x26_net',
6910
        'dout' => 'to_register9_dout_net',
6911
        'en' => 'constant6_op_net_x27',
6912
      },
6913
      'entity' => {
6914
        'attributes' => {
6915
          'generics' => [
6916
          ],
6917
          'is_floating_block' => 1,
6918
          'mask' => {
6919
            'Block_Handle' => 1008.00012207031,
6920
            'Block_handle' => 1008.00012207031,
6921
            'MDL_Handle' => 3.0009765625,
6922
            'MDL_handle' => 3.0009765625,
6923
            'arith_type' => 1,
6924
            'bin_pt' => 14,
6925
            'block_config' => 'sysgen_blockset:toreg_config',
6926
            'block_handle' => 1008.00012207031,
6927
            'block_name' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9',
6928
            'block_type' => 'toreg',
6929
            'dbl_ovrd' => 0,
6930
            'explicit_data_type' => 0,
6931
            'init' => 0,
6932
            'init_bit_vector' => '00000000000000000000000000000000b',
6933
            'mdl_handle' => 3.0009765625,
6934
            'model_handle' => 3.0009765625,
6935
            'n_bits' => 16,
6936
            'ownership' => 1,
6937
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
6938
            'shared_memory_name' => 'register06rd',
6939
          },
6940
          'needs_vhdl_wrapper' => 0,
6941
          'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9',
6942
        },
6943
        'entityName' => 'x_x60',
6944
        'ports' => {
6945
          'ce' => {
6946
            'attributes' => {
6947
              'domain' => '',
6948
              'group' => 1,
6949
              'isCe' => 1,
6950
              'is_floating_block' => 1,
6951
              'period' => 1,
6952
              'type' => 'logic',
6953
            },
6954
            'direction' => 'in',
6955
            'hdlType' => 'std_logic',
6956
            'width' => 1,
6957
          },
6958
          'clk' => {
6959
            'attributes' => {
6960
              'domain' => '',
6961
              'group' => 1,
6962
              'isClk' => 1,
6963
              'is_floating_block' => 1,
6964
              'period' => 1,
6965
              'type' => 'logic',
6966
            },
6967
            'direction' => 'in',
6968
            'hdlType' => 'std_logic',
6969
            'width' => 1,
6970
          },
6971
          'clr' => {
6972
            'attributes' => {
6973
              'domain' => '',
6974
              'group' => 1,
6975
              'isClr' => 1,
6976
              'is_floating_block' => 1,
6977
              'period' => 1,
6978
              'type' => 'logic',
6979
              'valid_bit_used' => 0,
6980
            },
6981
            'direction' => 'in',
6982
            'hdlType' => 'std_logic',
6983
            'width' => 1,
6984
          },
6985
          'data_in' => {
6986
            'attributes' => {
6987
              'bin_pt' => 0,
6988
              'is_floating_block' => 1,
6989
              'must_be_hdl_vector' => 1,
6990
              'period' => 1,
6991
              'port_id' => 0,
6992
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/data_in',
6993
              'type' => 'UFix_32_0',
6994
            },
6995
            'direction' => 'in',
6996
            'hdlType' => 'std_logic_vector(31 downto 0)',
6997
            'width' => 32,
6998
          },
6999
          'dout' => {
7000
            'attributes' => {
7001
              'bin_pt' => 0,
7002
              'is_floating_block' => 1,
7003
              'must_be_hdl_vector' => 1,
7004
              'period' => 1,
7005
              'port_id' => 0,
7006
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/dout',
7007
              'type' => 'UFix_32_0',
7008
            },
7009
            'direction' => 'out',
7010
            'hdlType' => 'std_logic_vector(31 downto 0)',
7011
            'width' => 32,
7012
          },
7013
          'en' => {
7014
            'attributes' => {
7015
              'bin_pt' => 0,
7016
              'is_floating_block' => 1,
7017
              'must_be_hdl_vector' => 1,
7018
              'period' => 1,
7019
              'port_id' => 1,
7020
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/To Register9/en',
7021
              'type' => 'Bool',
7022
            },
7023
            'direction' => 'in',
7024
            'hdlType' => 'std_logic_vector(0 downto 0)',
7025
            'width' => 1,
7026
          },
7027
        },
7028
      },
7029
      'entityName' => 'x_x60',
7030
    },
7031
    'user_int_1o' => {
7032
      'connections' => {
7033
        'user_int_1o' => 'user_int_1o_net',
7034
      },
7035
      'entity' => {
7036
        'attributes' => {
7037
          'isGateway' => 1,
7038
          'is_floating_block' => 1,
7039
        },
7040
        'entityName' => 'user_int_1o',
7041
        'ports' => {
7042
          'user_int_1o' => {
7043
            'attributes' => {
7044
              'bin_pt' => 0,
7045
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_1o.dat',
7046
              'is_floating_block' => 1,
7047
              'is_gateway_port' => 1,
7048
              'must_be_hdl_vector' => 1,
7049
              'period' => 1,
7050
              'port_id' => 0,
7051
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_1o/user_int_1o',
7052
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_1o',
7053
              'timingConstraint' => 'none',
7054
              'type' => 'Bool',
7055
            },
7056
            'direction' => 'in',
7057
            'hdlType' => 'std_logic',
7058
            'width' => 1,
7059
          },
7060
        },
7061
      },
7062
      'entityName' => 'user_int_1o',
7063
    },
7064
    'user_int_2o' => {
7065
      'connections' => {
7066
        'user_int_2o' => 'user_int_2o_net',
7067
      },
7068
      'entity' => {
7069
        'attributes' => {
7070
          'isGateway' => 1,
7071
          'is_floating_block' => 1,
7072
        },
7073
        'entityName' => 'user_int_2o',
7074
        'ports' => {
7075
          'user_int_2o' => {
7076
            'attributes' => {
7077
              'bin_pt' => 0,
7078
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_2o.dat',
7079
              'is_floating_block' => 1,
7080
              'is_gateway_port' => 1,
7081
              'must_be_hdl_vector' => 1,
7082
              'period' => 1,
7083
              'port_id' => 0,
7084
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_2o/user_int_2o',
7085
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_2o',
7086
              'timingConstraint' => 'none',
7087
              'type' => 'Bool',
7088
            },
7089
            'direction' => 'in',
7090
            'hdlType' => 'std_logic',
7091
            'width' => 1,
7092
          },
7093
        },
7094
      },
7095
      'entityName' => 'user_int_2o',
7096
    },
7097
    'user_int_3o' => {
7098
      'connections' => {
7099
        'user_int_3o' => 'user_int_3o_net',
7100
      },
7101
      'entity' => {
7102
        'attributes' => {
7103
          'isGateway' => 1,
7104
          'is_floating_block' => 1,
7105
        },
7106
        'entityName' => 'user_int_3o',
7107
        'ports' => {
7108
          'user_int_3o' => {
7109
            'attributes' => {
7110
              'bin_pt' => 0,
7111
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_3o.dat',
7112
              'is_floating_block' => 1,
7113
              'is_gateway_port' => 1,
7114
              'must_be_hdl_vector' => 1,
7115
              'period' => 1,
7116
              'port_id' => 0,
7117
              'simulinkName' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_3o/user_int_3o',
7118
              'source_block' => 'PCIe_UserLogic_00/USER_LOGIC/user_int_3o',
7119
              'timingConstraint' => 'none',
7120
              'type' => 'Bool',
7121
            },
7122
            'direction' => 'in',
7123
            'hdlType' => 'std_logic',
7124
            'width' => 1,
7125
          },
7126
        },
7127
      },
7128
      'entityName' => 'user_int_3o',
7129
    },
7130
    'user_logic' => {
7131
      'connections' => {
7132
        'bram_rd_addr' => 'bram_rd_addr_net',
7133
        'bram_rd_dout' => 'bram_rd_dout_net',
7134
        'bram_wr_addr' => 'bram_wr_addr_net',
7135
        'bram_wr_din' => 'bram_wr_din_net',
7136
        'bram_wr_en' => 'bram_wr_en_net',
7137
        'ce_1' => 'ce_1_sg_x0',
7138
        'clk_1' => 'clk_1_sg_x0',
7139
        'data_in' => 'data_in_net',
7140
        'data_in_x0' => 'data_in_x0_net',
7141
        'data_in_x1' => 'data_in_x1_net',
7142
        'data_in_x10' => 'data_in_x10_net',
7143
        'data_in_x11' => 'data_in_x11_net',
7144
        'data_in_x12' => 'data_in_x12_net',
7145
        'data_in_x13' => 'data_in_x13_net',
7146
        'data_in_x14' => 'data_in_x14_net',
7147
        'data_in_x15' => 'data_in_x15_net',
7148
        'data_in_x16' => 'data_in_x16_net',
7149
        'data_in_x17' => 'data_in_x17_net',
7150
        'data_in_x18' => 'data_in_x18_net',
7151
        'data_in_x19' => 'data_in_x19_net',
7152
        'data_in_x2' => 'data_in_x2_net',
7153
        'data_in_x20' => 'data_in_x20_net',
7154
        'data_in_x21' => 'data_in_x21_net',
7155
        'data_in_x22' => 'data_in_x22_net',
7156
        'data_in_x23' => 'data_in_x23_net',
7157
        'data_in_x24' => 'data_in_x24_net',
7158
        'data_in_x25' => 'data_in_x25_net',
7159
        'data_in_x26' => 'data_in_x26_net',
7160
        'data_in_x3' => 'data_in_x3_net',
7161
        'data_in_x4' => 'data_in_x4_net',
7162
        'data_in_x5' => 'data_in_x5_net',
7163
        'data_in_x6' => 'data_in_x6_net',
7164
        'data_in_x7' => 'data_in_x7_net',
7165
        'data_in_x8' => 'data_in_x8_net',
7166
        'data_in_x9' => 'data_in_x9_net',
7167
        'data_out' => 'data_out_net',
7168
        'data_out_x0' => 'data_out_x0_net',
7169
        'data_out_x1' => 'data_out_x1_net',
7170
        'data_out_x10' => 'data_out_x10_net',
7171
        'data_out_x11' => 'data_out_x11_net',
7172
        'data_out_x12' => 'data_out_x12_net',
7173
        'data_out_x13' => 'data_out_x13_net',
7174
        'data_out_x14' => 'data_out_x14_net',
7175
        'data_out_x15' => 'data_out_x15_net',
7176
        'data_out_x16' => 'data_out_x16_net',
7177
        'data_out_x17' => 'data_out_x17_net',
7178
        'data_out_x18' => 'data_out_x18_net',
7179
        'data_out_x19' => 'data_out_x19_net',
7180
        'data_out_x2' => 'data_out_x2_net',
7181
        'data_out_x20' => 'data_out_x20_net',
7182
        'data_out_x21' => 'data_out_x21_net',
7183
        'data_out_x22' => 'data_out_x22_net',
7184
        'data_out_x23' => 'data_out_x23_net',
7185
        'data_out_x24' => 'data_out_x24_net',
7186
        'data_out_x25' => 'data_out_x25_net',
7187
        'data_out_x26' => 'data_out_x26_net',
7188
        'data_out_x27' => 'data_out_x27_net',
7189
        'data_out_x28' => 'data_out_x28_net',
7190
        'data_out_x3' => 'data_out_x3_net',
7191
        'data_out_x6' => 'data_out_x6_net',
7192
        'data_out_x7' => 'data_out_x7_net',
7193
        'data_out_x8' => 'data_out_x8_net',
7194
        'data_out_x9' => 'data_out_x9_net',
7195
        'en' => 'constant6_op_net_x0',
7196
        'en_x0' => 'constant6_op_net_x1',
7197
        'en_x1' => 'constant6_op_net_x2',
7198
        'en_x10' => 'constant6_op_net_x11',
7199
        'en_x11' => 'constant6_op_net_x12',
7200
        'en_x12' => 'constant6_op_net_x13',
7201
        'en_x13' => 'constant6_op_net_x14',
7202
        'en_x14' => 'constant6_op_net_x15',
7203
        'en_x15' => 'constant6_op_net_x16',
7204
        'en_x16' => 'constant6_op_net_x17',
7205
        'en_x17' => 'constant6_op_net_x18',
7206
        'en_x18' => 'constant6_op_net_x19',
7207
        'en_x19' => 'constant6_op_net_x20',
7208
        'en_x2' => 'constant6_op_net_x3',
7209
        'en_x20' => 'constant6_op_net_x21',
7210
        'en_x21' => 'constant6_op_net_x22',
7211
        'en_x22' => 'constant6_op_net_x23',
7212
        'en_x23' => 'constant6_op_net_x24',
7213
        'en_x24' => 'constant6_op_net_x25',
7214
        'en_x25' => 'constant6_op_net_x26',
7215
        'en_x26' => 'constant6_op_net_x27',
7216
        'en_x3' => 'constant6_op_net_x4',
7217
        'en_x4' => 'constant6_op_net_x5',
7218
        'en_x5' => 'constant6_op_net_x6',
7219
        'en_x6' => 'constant6_op_net_x7',
7220
        'en_x7' => 'constant6_op_net_x8',
7221
        'en_x8' => 'constant6_op_net_x9',
7222
        'en_x9' => 'constant6_op_net_x10',
7223
        'fifo_rd_count' => 'fifo_rd_count_net',
7224
        'fifo_rd_dout' => 'fifo_rd_dout_net',
7225
        'fifo_rd_empty' => 'fifo_rd_empty_net',
7226
        'fifo_rd_en' => 'fifo_rd_en_net',
7227
        'fifo_rd_pempty' => 'fifo_rd_pempty_net',
7228
        'fifo_rd_valid' => 'fifo_rd_valid_net',
7229
        'fifo_wr_count' => 'fifo_wr_count_net',
7230
        'fifo_wr_din' => 'fifo_wr_din_net',
7231
        'fifo_wr_en' => 'fifo_wr_en_net',
7232
        'fifo_wr_full' => 'fifo_wr_full_net',
7233
        'fifo_wr_pfull' => 'fifo_wr_pfull_net',
7234
        'rst_i' => 'rst_i_net',
7235
        'rst_o' => 'rst_o_net',
7236
        'user_int_1o' => 'user_int_1o_net',
7237
        'user_int_2o' => 'user_int_2o_net',
7238
        'user_int_3o' => 'user_int_3o_net',
7239
      },
7240
      'entity' => {
7241
        'attributes' => {
7242
          'entityAlreadyNetlisted' => 1,
7243
          'hdlKind' => 'vhdl',
7244
          'isDesign' => 1,
7245
          'simulinkName' => 'USER_LOGIC',
7246
        },
7247
        'entityName' => 'user_logic',
7248
        'ports' => {
7249
          'bram_rd_addr' => {
7250
            'attributes' => {
7251
              'bin_pt' => 0,
7252
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_addr.dat',
7253
              'is_floating_block' => 1,
7254
              'is_gateway_port' => 1,
7255
              'must_be_hdl_vector' => 1,
7256
              'period' => 1,
7257
              'port_id' => 15,
7258
              'simulinkName' => 'USER_LOGIC/BRAM_rd_addr',
7259
              'source_block' => 'USER_LOGIC',
7260
              'timingConstraint' => 'none',
7261
              'type' => 'UFix_12_0',
7262
            },
7263
            'direction' => 'out',
7264
            'hdlType' => 'std_logic_vector(11 downto 0)',
7265
            'width' => 12,
7266
          },
7267
          'bram_rd_dout' => {
7268
            'attributes' => {
7269
              'bin_pt' => 0,
7270
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_rd_dout.dat',
7271
              'is_floating_block' => 1,
7272
              'is_gateway_port' => 1,
7273
              'must_be_hdl_vector' => 1,
7274
              'period' => 1,
7275
              'port_id' => 0,
7276
              'simulinkName' => 'USER_LOGIC/BRAM_rd_dout',
7277
              'source_block' => 'USER_LOGIC',
7278
              'timingConstraint' => 'none',
7279
              'type' => 'UFix_64_0',
7280
            },
7281
            'direction' => 'in',
7282
            'hdlType' => 'std_logic_vector(63 downto 0)',
7283
            'width' => 64,
7284
          },
7285
          'bram_wr_addr' => {
7286
            'attributes' => {
7287
              'bin_pt' => 0,
7288
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_addr.dat',
7289
              'is_floating_block' => 1,
7290
              'is_gateway_port' => 1,
7291
              'must_be_hdl_vector' => 1,
7292
              'period' => 1,
7293
              'port_id' => 16,
7294
              'simulinkName' => 'USER_LOGIC/BRAM_wr_addr',
7295
              'source_block' => 'USER_LOGIC',
7296
              'timingConstraint' => 'none',
7297
              'type' => 'UFix_12_0',
7298
            },
7299
            'direction' => 'out',
7300
            'hdlType' => 'std_logic_vector(11 downto 0)',
7301
            'width' => 12,
7302
          },
7303
          'bram_wr_din' => {
7304
            'attributes' => {
7305
              'bin_pt' => 0,
7306
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_din.dat',
7307
              'is_floating_block' => 1,
7308
              'is_gateway_port' => 1,
7309
              'must_be_hdl_vector' => 1,
7310
              'period' => 1,
7311
              'port_id' => 18,
7312
              'simulinkName' => 'USER_LOGIC/BRAM_wr_din',
7313
              'source_block' => 'USER_LOGIC',
7314
              'timingConstraint' => 'none',
7315
              'type' => 'UFix_64_0',
7316
            },
7317
            'direction' => 'out',
7318
            'hdlType' => 'std_logic_vector(63 downto 0)',
7319
            'width' => 64,
7320
          },
7321
          'bram_wr_en' => {
7322
            'attributes' => {
7323
              'bin_pt' => 0,
7324
              'inputFile' => 'pcie_userlogic_00_user_logic_bram_wr_en.dat',
7325
              'is_floating_block' => 1,
7326
              'is_gateway_port' => 1,
7327
              'must_be_hdl_vector' => 1,
7328
              'period' => 1,
7329
              'port_id' => 23,
7330
              'simulinkName' => 'USER_LOGIC/BRAM_wr_en',
7331
              'source_block' => 'USER_LOGIC',
7332
              'timingConstraint' => 'none',
7333
              'type' => 'UFix_8_0',
7334
            },
7335
            'direction' => 'out',
7336
            'hdlType' => 'std_logic_vector(7 downto 0)',
7337
            'width' => 8,
7338
          },
7339
          'ce_1' => {
7340
            'attributes' => {
7341
              'domain' => '',
7342
              'group' => 1,
7343
              'isCe' => 1,
7344
              'is_subsys_port' => 1,
7345
              'period' => 1,
7346
              'subsys_port_index' => 0,
7347
              'type' => 'logic',
7348
            },
7349
            'direction' => 'in',
7350
            'hdlType' => 'std_logic',
7351
            'width' => 1,
7352
          },
7353
          'clk_1' => {
7354
            'attributes' => {
7355
              'domain' => '',
7356
              'group' => 1,
7357
              'isClk' => 1,
7358
              'is_subsys_port' => 1,
7359
              'period' => 1,
7360
              'subsys_port_index' => 0,
7361
              'type' => 'logic',
7362
            },
7363
            'direction' => 'in',
7364
            'hdlType' => 'std_logic',
7365
            'width' => 1,
7366
          },
7367
          'data_in' => {
7368
            'attributes' => {
7369
              'bin_pt' => 0,
7370
              'is_floating_block' => 1,
7371
              'must_be_hdl_vector' => 1,
7372
              'period' => 1,
7373
              'port_id' => 17,
7374
              'simulinkName' => 'USER_LOGIC/tx_en_in2',
7375
              'type' => 'UFix_32_0',
7376
            },
7377
            'direction' => 'out',
7378
            'hdlType' => 'std_logic_vector(31 downto 0)',
7379
            'width' => 32,
7380
          },
7381
          'data_in_x0' => {
7382
            'attributes' => {
7383
              'bin_pt' => 0,
7384
              'is_floating_block' => 1,
7385
              'must_be_hdl_vector' => 1,
7386
              'period' => 1,
7387
              'port_id' => 1,
7388
              'simulinkName' => 'USER_LOGIC/tx_en_in1',
7389
              'type' => 'Bool',
7390
            },
7391
            'direction' => 'out',
7392
            'hdlType' => 'std_logic',
7393
            'width' => 1,
7394
          },
7395
          'data_in_x1' => {
7396
            'attributes' => {
7397
              'bin_pt' => 0,
7398
              'is_floating_block' => 1,
7399
              'must_be_hdl_vector' => 1,
7400
              'period' => 1,
7401
              'port_id' => 36,
7402
              'simulinkName' => 'USER_LOGIC/tx_en_in96',
7403
              'type' => 'Bool',
7404
            },
7405
            'direction' => 'out',
7406
            'hdlType' => 'std_logic',
7407
            'width' => 1,
7408
          },
7409
          'data_in_x10' => {
7410
            'attributes' => {
7411
              'bin_pt' => 0,
7412
              'is_floating_block' => 1,
7413
              'must_be_hdl_vector' => 1,
7414
              'period' => 1,
7415
              'port_id' => 33,
7416
              'simulinkName' => 'USER_LOGIC/tx_en_in91',
7417
              'type' => 'UFix_32_0',
7418
            },
7419
            'direction' => 'out',
7420
            'hdlType' => 'std_logic_vector(31 downto 0)',
7421
            'width' => 32,
7422
          },
7423
          'data_in_x11' => {
7424
            'attributes' => {
7425
              'bin_pt' => 0,
7426
              'is_floating_block' => 1,
7427
              'must_be_hdl_vector' => 1,
7428
              'period' => 1,
7429
              'port_id' => 21,
7430
              'simulinkName' => 'USER_LOGIC/tx_en_in33',
7431
              'type' => 'UFix_32_0',
7432
            },
7433
            'direction' => 'out',
7434
            'hdlType' => 'std_logic_vector(31 downto 0)',
7435
            'width' => 32,
7436
          },
7437
          'data_in_x12' => {
7438
            'attributes' => {
7439
              'bin_pt' => 0,
7440
              'is_floating_block' => 1,
7441
              'must_be_hdl_vector' => 1,
7442
              'period' => 1,
7443
              'port_id' => 6,
7444
              'simulinkName' => 'USER_LOGIC/tx_en_in113',
7445
              'type' => 'Bool',
7446
            },
7447
            'direction' => 'out',
7448
            'hdlType' => 'std_logic',
7449
            'width' => 1,
7450
          },
7451
          'data_in_x13' => {
7452
            'attributes' => {
7453
              'bin_pt' => 0,
7454
              'is_floating_block' => 1,
7455
              'must_be_hdl_vector' => 1,
7456
              'period' => 1,
7457
              'port_id' => 8,
7458
              'simulinkName' => 'USER_LOGIC/tx_en_in115',
7459
              'type' => 'UFix_32_0',
7460
            },
7461
            'direction' => 'out',
7462
            'hdlType' => 'std_logic_vector(31 downto 0)',
7463
            'width' => 32,
7464
          },
7465
          'data_in_x14' => {
7466
            'attributes' => {
7467
              'bin_pt' => 0,
7468
              'is_floating_block' => 1,
7469
              'must_be_hdl_vector' => 1,
7470
              'period' => 1,
7471
              'port_id' => 7,
7472
              'simulinkName' => 'USER_LOGIC/tx_en_in114',
7473
              'type' => 'Bool',
7474
            },
7475
            'direction' => 'out',
7476
            'hdlType' => 'std_logic',
7477
            'width' => 1,
7478
          },
7479
          'data_in_x15' => {
7480
            'attributes' => {
7481
              'bin_pt' => 0,
7482
              'is_floating_block' => 1,
7483
              'must_be_hdl_vector' => 1,
7484
              'period' => 1,
7485
              'port_id' => 9,
7486
              'simulinkName' => 'USER_LOGIC/tx_en_in118',
7487
              'type' => 'UFix_32_0',
7488
            },
7489
            'direction' => 'out',
7490
            'hdlType' => 'std_logic_vector(31 downto 0)',
7491
            'width' => 32,
7492
          },
7493
          'data_in_x16' => {
7494
            'attributes' => {
7495
              'bin_pt' => 0,
7496
              'is_floating_block' => 1,
7497
              'must_be_hdl_vector' => 1,
7498
              'period' => 1,
7499
              'port_id' => 10,
7500
              'simulinkName' => 'USER_LOGIC/tx_en_in121',
7501
              'type' => 'Bool',
7502
            },
7503
            'direction' => 'out',
7504
            'hdlType' => 'std_logic',
7505
            'width' => 1,
7506
          },
7507
          'data_in_x17' => {
7508
            'attributes' => {
7509
              'bin_pt' => 0,
7510
              'is_floating_block' => 1,
7511
              'must_be_hdl_vector' => 1,
7512
              'period' => 1,
7513
              'port_id' => 11,
7514
              'simulinkName' => 'USER_LOGIC/tx_en_in122',
7515
              'type' => 'UFix_32_0',
7516
            },
7517
            'direction' => 'out',
7518
            'hdlType' => 'std_logic_vector(31 downto 0)',
7519
            'width' => 32,
7520
          },
7521
          'data_in_x18' => {
7522
            'attributes' => {
7523
              'bin_pt' => 0,
7524
              'is_floating_block' => 1,
7525
              'must_be_hdl_vector' => 1,
7526
              'period' => 1,
7527
              'port_id' => 12,
7528
              'simulinkName' => 'USER_LOGIC/tx_en_in125',
7529
              'type' => 'Bool',
7530
            },
7531
            'direction' => 'out',
7532
            'hdlType' => 'std_logic',
7533
            'width' => 1,
7534
          },
7535
          'data_in_x19' => {
7536
            'attributes' => {
7537
              'bin_pt' => 0,
7538
              'is_floating_block' => 1,
7539
              'must_be_hdl_vector' => 1,
7540
              'period' => 1,
7541
              'port_id' => 13,
7542
              'simulinkName' => 'USER_LOGIC/tx_en_in126',
7543
              'type' => 'UFix_32_0',
7544
            },
7545
            'direction' => 'out',
7546
            'hdlType' => 'std_logic_vector(31 downto 0)',
7547
            'width' => 32,
7548
          },
7549
          'data_in_x2' => {
7550
            'attributes' => {
7551
              'bin_pt' => 0,
7552
              'is_floating_block' => 1,
7553
              'must_be_hdl_vector' => 1,
7554
              'period' => 1,
7555
              'port_id' => 37,
7556
              'simulinkName' => 'USER_LOGIC/tx_en_in97',
7557
              'type' => 'Bool',
7558
            },
7559
            'direction' => 'out',
7560
            'hdlType' => 'std_logic',
7561
            'width' => 1,
7562
          },
7563
          'data_in_x20' => {
7564
            'attributes' => {
7565
              'bin_pt' => 0,
7566
              'is_floating_block' => 1,
7567
              'must_be_hdl_vector' => 1,
7568
              'period' => 1,
7569
              'port_id' => 2,
7570
              'simulinkName' => 'USER_LOGIC/tx_en_in10',
7571
              'type' => 'UFix_32_0',
7572
            },
7573
            'direction' => 'out',
7574
            'hdlType' => 'std_logic_vector(31 downto 0)',
7575
            'width' => 32,
7576
          },
7577
          'data_in_x21' => {
7578
            'attributes' => {
7579
              'bin_pt' => 0,
7580
              'is_floating_block' => 1,
7581
              'must_be_hdl_vector' => 1,
7582
              'period' => 1,
7583
              'port_id' => 34,
7584
              'simulinkName' => 'USER_LOGIC/tx_en_in94',
7585
              'type' => 'Bool',
7586
            },
7587
            'direction' => 'out',
7588
            'hdlType' => 'std_logic',
7589
            'width' => 1,
7590
          },
7591
          'data_in_x22' => {
7592
            'attributes' => {
7593
              'bin_pt' => 0,
7594
              'is_floating_block' => 1,
7595
              'must_be_hdl_vector' => 1,
7596
              'period' => 1,
7597
              'port_id' => 29,
7598
              'simulinkName' => 'USER_LOGIC/tx_en_in7',
7599
              'type' => 'Bool',
7600
            },
7601
            'direction' => 'out',
7602
            'hdlType' => 'std_logic',
7603
            'width' => 1,
7604
          },
7605
          'data_in_x23' => {
7606
            'attributes' => {
7607
              'bin_pt' => 0,
7608
              'is_floating_block' => 1,
7609
              'must_be_hdl_vector' => 1,
7610
              'period' => 1,
7611
              'port_id' => 24,
7612
              'simulinkName' => 'USER_LOGIC/tx_en_in50',
7613
              'type' => 'UFix_32_0',
7614
            },
7615
            'direction' => 'out',
7616
            'hdlType' => 'std_logic_vector(31 downto 0)',
7617
            'width' => 32,
7618
          },
7619
          'data_in_x24' => {
7620
            'attributes' => {
7621
              'bin_pt' => 0,
7622
              'is_floating_block' => 1,
7623
              'must_be_hdl_vector' => 1,
7624
              'period' => 1,
7625
              'port_id' => 35,
7626
              'simulinkName' => 'USER_LOGIC/tx_en_in95',
7627
              'type' => 'Bool',
7628
            },
7629
            'direction' => 'out',
7630
            'hdlType' => 'std_logic',
7631
            'width' => 1,
7632
          },
7633
          'data_in_x25' => {
7634
            'attributes' => {
7635
              'bin_pt' => 0,
7636
              'is_floating_block' => 1,
7637
              'must_be_hdl_vector' => 1,
7638
              'period' => 1,
7639
              'port_id' => 26,
7640
              'simulinkName' => 'USER_LOGIC/tx_en_in53',
7641
              'type' => 'UFix_32_0',
7642
            },
7643
            'direction' => 'out',
7644
            'hdlType' => 'std_logic_vector(31 downto 0)',
7645
            'width' => 32,
7646
          },
7647
          'data_in_x26' => {
7648
            'attributes' => {
7649
              'bin_pt' => 0,
7650
              'is_floating_block' => 1,
7651
              'must_be_hdl_vector' => 1,
7652
              'period' => 1,
7653
              'port_id' => 27,
7654
              'simulinkName' => 'USER_LOGIC/tx_en_in54',
7655
              'type' => 'UFix_32_0',
7656
            },
7657
            'direction' => 'out',
7658
            'hdlType' => 'std_logic_vector(31 downto 0)',
7659
            'width' => 32,
7660
          },
7661
          'data_in_x3' => {
7662
            'attributes' => {
7663
              'bin_pt' => 0,
7664
              'is_floating_block' => 1,
7665
              'must_be_hdl_vector' => 1,
7666
              'period' => 1,
7667
              'port_id' => 14,
7668
              'simulinkName' => 'USER_LOGIC/tx_en_in13',
7669
              'type' => 'Bool',
7670
            },
7671
            'direction' => 'out',
7672
            'hdlType' => 'std_logic',
7673
            'width' => 1,
7674
          },
7675
          'data_in_x4' => {
7676
            'attributes' => {
7677
              'bin_pt' => 0,
7678
              'is_floating_block' => 1,
7679
              'must_be_hdl_vector' => 1,
7680
              'period' => 1,
7681
              'port_id' => 28,
7682
              'simulinkName' => 'USER_LOGIC/tx_en_in66',
7683
              'type' => 'UFix_32_0',
7684
            },
7685
            'direction' => 'out',
7686
            'hdlType' => 'std_logic_vector(31 downto 0)',
7687
            'width' => 32,
7688
          },
7689
          'data_in_x5' => {
7690
            'attributes' => {
7691
              'bin_pt' => 0,
7692
              'is_floating_block' => 1,
7693
              'must_be_hdl_vector' => 1,
7694
              'period' => 1,
7695
              'port_id' => 38,
7696
              'simulinkName' => 'USER_LOGIC/tx_en_in98',
7697
              'type' => 'Bool',
7698
            },
7699
            'direction' => 'out',
7700
            'hdlType' => 'std_logic',
7701
            'width' => 1,
7702
          },
7703
          'data_in_x6' => {
7704
            'attributes' => {
7705
              'bin_pt' => 0,
7706
              'is_floating_block' => 1,
7707
              'must_be_hdl_vector' => 1,
7708
              'period' => 1,
7709
              'port_id' => 31,
7710
              'simulinkName' => 'USER_LOGIC/tx_en_in85',
7711
              'type' => 'UFix_32_0',
7712
            },
7713
            'direction' => 'out',
7714
            'hdlType' => 'std_logic_vector(31 downto 0)',
7715
            'width' => 32,
7716
          },
7717
          'data_in_x7' => {
7718
            'attributes' => {
7719
              'bin_pt' => 0,
7720
              'is_floating_block' => 1,
7721
              'must_be_hdl_vector' => 1,
7722
              'period' => 1,
7723
              'port_id' => 39,
7724
              'simulinkName' => 'USER_LOGIC/tx_en_in99',
7725
              'type' => 'Bool',
7726
            },
7727
            'direction' => 'out',
7728
            'hdlType' => 'std_logic',
7729
            'width' => 1,
7730
          },
7731
          'data_in_x8' => {
7732
            'attributes' => {
7733
              'bin_pt' => 0,
7734
              'is_floating_block' => 1,
7735
              'must_be_hdl_vector' => 1,
7736
              'period' => 1,
7737
              'port_id' => 32,
7738
              'simulinkName' => 'USER_LOGIC/tx_en_in88',
7739
              'type' => 'UFix_32_0',
7740
            },
7741
            'direction' => 'out',
7742
            'hdlType' => 'std_logic_vector(31 downto 0)',
7743
            'width' => 32,
7744
          },
7745
          'data_in_x9' => {
7746
            'attributes' => {
7747
              'bin_pt' => 0,
7748
              'is_floating_block' => 1,
7749
              'must_be_hdl_vector' => 1,
7750
              'period' => 1,
7751
              'port_id' => 3,
7752
              'simulinkName' => 'USER_LOGIC/tx_en_in100',
7753
              'type' => 'Bool',
7754
            },
7755
            'direction' => 'out',
7756
            'hdlType' => 'std_logic',
7757
            'width' => 1,
7758
          },
7759
          'data_out' => {
7760
            'attributes' => {
7761
              'bin_pt' => 0,
7762
              'is_floating_block' => 1,
7763
              'must_be_hdl_vector' => 1,
7764
              'period' => 1,
7765
              'port_id' => 4,
7766
              'simulinkName' => 'USER_LOGIC/From Register10',
7767
              'type' => 'UFix_1_0',
7768
            },
7769
            'direction' => 'in',
7770
            'hdlType' => 'std_logic',
7771
            'width' => 1,
7772
          },
7773
          'data_out_x0' => {
7774
            'attributes' => {
7775
              'bin_pt' => 0,
7776
              'is_floating_block' => 1,
7777
              'must_be_hdl_vector' => 1,
7778
              'period' => 1,
7779
              'port_id' => 5,
7780
              'simulinkName' => 'USER_LOGIC/From Register11',
7781
              'type' => 'UFix_32_0',
7782
            },
7783
            'direction' => 'in',
7784
            'hdlType' => 'std_logic_vector(31 downto 0)',
7785
            'width' => 32,
7786
          },
7787
          'data_out_x1' => {
7788
            'attributes' => {
7789
              'bin_pt' => 0,
7790
              'is_floating_block' => 1,
7791
              'must_be_hdl_vector' => 1,
7792
              'period' => 1,
7793
              'port_id' => 6,
7794
              'simulinkName' => 'USER_LOGIC/From Register12',
7795
              'type' => 'UFix_1_0',
7796
            },
7797
            'direction' => 'in',
7798
            'hdlType' => 'std_logic',
7799
            'width' => 1,
7800
          },
7801
          'data_out_x10' => {
7802
            'attributes' => {
7803
              'bin_pt' => 0,
7804
              'is_floating_block' => 1,
7805
              'must_be_hdl_vector' => 1,
7806
              'period' => 1,
7807
              'port_id' => 13,
7808
              'simulinkName' => 'USER_LOGIC/From Register22',
7809
              'type' => 'UFix_32_0',
7810
            },
7811
            'direction' => 'in',
7812
            'hdlType' => 'std_logic_vector(31 downto 0)',
7813
            'width' => 32,
7814
          },
7815
          'data_out_x11' => {
7816
            'attributes' => {
7817
              'bin_pt' => 0,
7818
              'is_floating_block' => 1,
7819
              'must_be_hdl_vector' => 1,
7820
              'period' => 1,
7821
              'port_id' => 14,
7822
              'simulinkName' => 'USER_LOGIC/From Register23',
7823
              'type' => 'UFix_1_0',
7824
            },
7825
            'direction' => 'in',
7826
            'hdlType' => 'std_logic',
7827
            'width' => 1,
7828
          },
7829
          'data_out_x12' => {
7830
            'attributes' => {
7831
              'bin_pt' => 0,
7832
              'is_floating_block' => 1,
7833
              'must_be_hdl_vector' => 1,
7834
              'period' => 1,
7835
              'port_id' => 15,
7836
              'simulinkName' => 'USER_LOGIC/From Register24',
7837
              'type' => 'UFix_32_0',
7838
            },
7839
            'direction' => 'in',
7840
            'hdlType' => 'std_logic_vector(31 downto 0)',
7841
            'width' => 32,
7842
          },
7843
          'data_out_x13' => {
7844
            'attributes' => {
7845
              'bin_pt' => 0,
7846
              'is_floating_block' => 1,
7847
              'must_be_hdl_vector' => 1,
7848
              'period' => 1,
7849
              'port_id' => 16,
7850
              'simulinkName' => 'USER_LOGIC/From Register25',
7851
              'type' => 'UFix_1_0',
7852
            },
7853
            'direction' => 'in',
7854
            'hdlType' => 'std_logic',
7855
            'width' => 1,
7856
          },
7857
          'data_out_x14' => {
7858
            'attributes' => {
7859
              'bin_pt' => 0,
7860
              'is_floating_block' => 1,
7861
              'must_be_hdl_vector' => 1,
7862
              'period' => 1,
7863
              'port_id' => 17,
7864
              'simulinkName' => 'USER_LOGIC/From Register26',
7865
              'type' => 'UFix_32_0',
7866
            },
7867
            'direction' => 'in',
7868
            'hdlType' => 'std_logic_vector(31 downto 0)',
7869
            'width' => 32,
7870
          },
7871
          'data_out_x15' => {
7872
            'attributes' => {
7873
              'bin_pt' => 0,
7874
              'is_floating_block' => 1,
7875
              'must_be_hdl_vector' => 1,
7876
              'period' => 1,
7877
              'port_id' => 18,
7878
              'simulinkName' => 'USER_LOGIC/From Register27',
7879
              'type' => 'UFix_1_0',
7880
            },
7881
            'direction' => 'in',
7882
            'hdlType' => 'std_logic',
7883
            'width' => 1,
7884
          },
7885
          'data_out_x16' => {
7886
            'attributes' => {
7887
              'bin_pt' => 0,
7888
              'is_floating_block' => 1,
7889
              'must_be_hdl_vector' => 1,
7890
              'period' => 1,
7891
              'port_id' => 19,
7892
              'simulinkName' => 'USER_LOGIC/From Register28',
7893
              'type' => 'UFix_32_0',
7894
            },
7895
            'direction' => 'in',
7896
            'hdlType' => 'std_logic_vector(31 downto 0)',
7897
            'width' => 32,
7898
          },
7899
          'data_out_x17' => {
7900
            'attributes' => {
7901
              'bin_pt' => 0,
7902
              'is_floating_block' => 1,
7903
              'must_be_hdl_vector' => 1,
7904
              'period' => 1,
7905
              'port_id' => 20,
7906
              'simulinkName' => 'USER_LOGIC/From Register29',
7907
              'type' => 'UFix_1_0',
7908
            },
7909
            'direction' => 'in',
7910
            'hdlType' => 'std_logic',
7911
            'width' => 1,
7912
          },
7913
          'data_out_x18' => {
7914
            'attributes' => {
7915
              'bin_pt' => 0,
7916
              'is_floating_block' => 1,
7917
              'must_be_hdl_vector' => 1,
7918
              'period' => 1,
7919
              'port_id' => 21,
7920
              'simulinkName' => 'USER_LOGIC/From Register3',
7921
              'type' => 'UFix_32_0',
7922
            },
7923
            'direction' => 'in',
7924
            'hdlType' => 'std_logic_vector(31 downto 0)',
7925
            'width' => 32,
7926
          },
7927
          'data_out_x19' => {
7928
            'attributes' => {
7929
              'bin_pt' => 0,
7930
              'is_floating_block' => 1,
7931
              'must_be_hdl_vector' => 1,
7932
              'period' => 1,
7933
              'port_id' => 22,
7934
              'simulinkName' => 'USER_LOGIC/From Register30',
7935
              'type' => 'UFix_32_0',
7936
            },
7937
            'direction' => 'in',
7938
            'hdlType' => 'std_logic_vector(31 downto 0)',
7939
            'width' => 32,
7940
          },
7941
          'data_out_x2' => {
7942
            'attributes' => {
7943
              'bin_pt' => 0,
7944
              'is_floating_block' => 1,
7945
              'must_be_hdl_vector' => 1,
7946
              'period' => 1,
7947
              'port_id' => 7,
7948
              'simulinkName' => 'USER_LOGIC/From Register13',
7949
              'type' => 'UFix_32_0',
7950
            },
7951
            'direction' => 'in',
7952
            'hdlType' => 'std_logic_vector(31 downto 0)',
7953
            'width' => 32,
7954
          },
7955
          'data_out_x20' => {
7956
            'attributes' => {
7957
              'bin_pt' => 0,
7958
              'is_floating_block' => 1,
7959
              'must_be_hdl_vector' => 1,
7960
              'period' => 1,
7961
              'port_id' => 23,
7962
              'simulinkName' => 'USER_LOGIC/From Register31',
7963
              'type' => 'UFix_1_0',
7964
            },
7965
            'direction' => 'in',
7966
            'hdlType' => 'std_logic',
7967
            'width' => 1,
7968
          },
7969
          'data_out_x21' => {
7970
            'attributes' => {
7971
              'bin_pt' => 0,
7972
              'is_floating_block' => 1,
7973
              'must_be_hdl_vector' => 1,
7974
              'period' => 1,
7975
              'port_id' => 24,
7976
              'simulinkName' => 'USER_LOGIC/From Register32',
7977
              'type' => 'UFix_32_0',
7978
            },
7979
            'direction' => 'in',
7980
            'hdlType' => 'std_logic_vector(31 downto 0)',
7981
            'width' => 32,
7982
          },
7983
          'data_out_x22' => {
7984
            'attributes' => {
7985
              'bin_pt' => 0,
7986
              'is_floating_block' => 1,
7987
              'must_be_hdl_vector' => 1,
7988
              'period' => 1,
7989
              'port_id' => 25,
7990
              'simulinkName' => 'USER_LOGIC/From Register33',
7991
              'type' => 'UFix_1_0',
7992
            },
7993
            'direction' => 'in',
7994
            'hdlType' => 'std_logic',
7995
            'width' => 1,
7996
          },
7997
          'data_out_x23' => {
7998
            'attributes' => {
7999
              'bin_pt' => 0,
8000
              'is_floating_block' => 1,
8001
              'must_be_hdl_vector' => 1,
8002
              'period' => 1,
8003
              'port_id' => 26,
8004
              'simulinkName' => 'USER_LOGIC/From Register4',
8005
              'type' => 'UFix_1_0',
8006
            },
8007
            'direction' => 'in',
8008
            'hdlType' => 'std_logic',
8009
            'width' => 1,
8010
          },
8011
          'data_out_x24' => {
8012
            'attributes' => {
8013
              'bin_pt' => 0,
8014
              'is_floating_block' => 1,
8015
              'must_be_hdl_vector' => 1,
8016
              'period' => 1,
8017
              'port_id' => 27,
8018
              'simulinkName' => 'USER_LOGIC/From Register5',
8019
              'type' => 'UFix_32_0',
8020
            },
8021
            'direction' => 'in',
8022
            'hdlType' => 'std_logic_vector(31 downto 0)',
8023
            'width' => 32,
8024
          },
8025
          'data_out_x25' => {
8026
            'attributes' => {
8027
              'bin_pt' => 0,
8028
              'is_floating_block' => 1,
8029
              'must_be_hdl_vector' => 1,
8030
              'period' => 1,
8031
              'port_id' => 28,
8032
              'simulinkName' => 'USER_LOGIC/From Register6',
8033
              'type' => 'UFix_1_0',
8034
            },
8035
            'direction' => 'in',
8036
            'hdlType' => 'std_logic',
8037
            'width' => 1,
8038
          },
8039
          'data_out_x26' => {
8040
            'attributes' => {
8041
              'bin_pt' => 0,
8042
              'is_floating_block' => 1,
8043
              'must_be_hdl_vector' => 1,
8044
              'period' => 1,
8045
              'port_id' => 29,
8046
              'simulinkName' => 'USER_LOGIC/From Register7',
8047
              'type' => 'UFix_32_0',
8048
            },
8049
            'direction' => 'in',
8050
            'hdlType' => 'std_logic_vector(31 downto 0)',
8051
            'width' => 32,
8052
          },
8053
          'data_out_x27' => {
8054
            'attributes' => {
8055
              'bin_pt' => 0,
8056
              'is_floating_block' => 1,
8057
              'must_be_hdl_vector' => 1,
8058
              'period' => 1,
8059
              'port_id' => 30,
8060
              'simulinkName' => 'USER_LOGIC/From Register8',
8061
              'type' => 'UFix_1_0',
8062
            },
8063
            'direction' => 'in',
8064
            'hdlType' => 'std_logic',
8065
            'width' => 1,
8066
          },
8067
          'data_out_x28' => {
8068
            'attributes' => {
8069
              'bin_pt' => 0,
8070
              'is_floating_block' => 1,
8071
              'must_be_hdl_vector' => 1,
8072
              'period' => 1,
8073
              'port_id' => 31,
8074
              'simulinkName' => 'USER_LOGIC/From Register9',
8075
              'type' => 'UFix_32_0',
8076
            },
8077
            'direction' => 'in',
8078
            'hdlType' => 'std_logic_vector(31 downto 0)',
8079
            'width' => 32,
8080
          },
8081
          'data_out_x3' => {
8082
            'attributes' => {
8083
              'bin_pt' => 0,
8084
              'is_floating_block' => 1,
8085
              'must_be_hdl_vector' => 1,
8086
              'period' => 1,
8087
              'port_id' => 8,
8088
              'simulinkName' => 'USER_LOGIC/From Register14',
8089
              'type' => 'UFix_1_0',
8090
            },
8091
            'direction' => 'in',
8092
            'hdlType' => 'std_logic',
8093
            'width' => 1,
8094
          },
8095
          'data_out_x6' => {
8096
            'attributes' => {
8097
              'bin_pt' => 0,
8098
              'is_floating_block' => 1,
8099
              'must_be_hdl_vector' => 1,
8100
              'period' => 1,
8101
              'port_id' => 9,
8102
              'simulinkName' => 'USER_LOGIC/From Register17',
8103
              'type' => 'UFix_32_0',
8104
            },
8105
            'direction' => 'in',
8106
            'hdlType' => 'std_logic_vector(31 downto 0)',
8107
            'width' => 32,
8108
          },
8109
          'data_out_x7' => {
8110
            'attributes' => {
8111
              'bin_pt' => 0,
8112
              'is_floating_block' => 1,
8113
              'must_be_hdl_vector' => 1,
8114
              'period' => 1,
8115
              'port_id' => 10,
8116
              'simulinkName' => 'USER_LOGIC/From Register18',
8117
              'type' => 'UFix_1_0',
8118
            },
8119
            'direction' => 'in',
8120
            'hdlType' => 'std_logic',
8121
            'width' => 1,
8122
          },
8123
          'data_out_x8' => {
8124
            'attributes' => {
8125
              'bin_pt' => 0,
8126
              'is_floating_block' => 1,
8127
              'must_be_hdl_vector' => 1,
8128
              'period' => 1,
8129
              'port_id' => 11,
8130
              'simulinkName' => 'USER_LOGIC/From Register20',
8131
              'type' => 'UFix_32_0',
8132
            },
8133
            'direction' => 'in',
8134
            'hdlType' => 'std_logic_vector(31 downto 0)',
8135
            'width' => 32,
8136
          },
8137
          'data_out_x9' => {
8138
            'attributes' => {
8139
              'bin_pt' => 0,
8140
              'is_floating_block' => 1,
8141
              'must_be_hdl_vector' => 1,
8142
              'period' => 1,
8143
              'port_id' => 12,
8144
              'simulinkName' => 'USER_LOGIC/From Register21',
8145
              'type' => 'UFix_1_0',
8146
            },
8147
            'direction' => 'in',
8148
            'hdlType' => 'std_logic',
8149
            'width' => 1,
8150
          },
8151
          'en' => {
8152
            'attributes' => {
8153
              'bin_pt' => 0,
8154
              'is_floating_block' => 1,
8155
              'must_be_hdl_vector' => 1,
8156
              'period' => 1,
8157
              'port_id' => 0,
8158
              'simulinkName' => 'USER_LOGIC/en',
8159
              'type' => 'Bool',
8160
            },
8161
            'direction' => 'out',
8162
            'hdlType' => 'std_logic',
8163
            'width' => 1,
8164
          },
8165
          'en_x0' => {
8166
            'attributes' => {
8167
              'bin_pt' => 0,
8168
              'is_floating_block' => 1,
8169
              'must_be_hdl_vector' => 1,
8170
              'period' => 1,
8171
              'port_id' => 0,
8172
              'simulinkName' => 'USER_LOGIC/en',
8173
              'type' => 'Bool',
8174
            },
8175
            'direction' => 'out',
8176
            'hdlType' => 'std_logic',
8177
            'width' => 1,
8178
          },
8179
          'en_x1' => {
8180
            'attributes' => {
8181
              'bin_pt' => 0,
8182
              'is_floating_block' => 1,
8183
              'must_be_hdl_vector' => 1,
8184
              'period' => 1,
8185
              'port_id' => 0,
8186
              'simulinkName' => 'USER_LOGIC/en',
8187
              'type' => 'Bool',
8188
            },
8189
            'direction' => 'out',
8190
            'hdlType' => 'std_logic',
8191
            'width' => 1,
8192
          },
8193
          'en_x10' => {
8194
            'attributes' => {
8195
              'bin_pt' => 0,
8196
              'is_floating_block' => 1,
8197
              'must_be_hdl_vector' => 1,
8198
              'period' => 1,
8199
              'port_id' => 0,
8200
              'simulinkName' => 'USER_LOGIC/en',
8201
              'type' => 'Bool',
8202
            },
8203
            'direction' => 'out',
8204
            'hdlType' => 'std_logic',
8205
            'width' => 1,
8206
          },
8207
          'en_x11' => {
8208
            'attributes' => {
8209
              'bin_pt' => 0,
8210
              'is_floating_block' => 1,
8211
              'must_be_hdl_vector' => 1,
8212
              'period' => 1,
8213
              'port_id' => 0,
8214
              'simulinkName' => 'USER_LOGIC/en',
8215
              'type' => 'Bool',
8216
            },
8217
            'direction' => 'out',
8218
            'hdlType' => 'std_logic',
8219
            'width' => 1,
8220
          },
8221
          'en_x12' => {
8222
            'attributes' => {
8223
              'bin_pt' => 0,
8224
              'is_floating_block' => 1,
8225
              'must_be_hdl_vector' => 1,
8226
              'period' => 1,
8227
              'port_id' => 0,
8228
              'simulinkName' => 'USER_LOGIC/en',
8229
              'type' => 'Bool',
8230
            },
8231
            'direction' => 'out',
8232
            'hdlType' => 'std_logic',
8233
            'width' => 1,
8234
          },
8235
          'en_x13' => {
8236
            'attributes' => {
8237
              'bin_pt' => 0,
8238
              'is_floating_block' => 1,
8239
              'must_be_hdl_vector' => 1,
8240
              'period' => 1,
8241
              'port_id' => 0,
8242
              'simulinkName' => 'USER_LOGIC/en',
8243
              'type' => 'Bool',
8244
            },
8245
            'direction' => 'out',
8246
            'hdlType' => 'std_logic',
8247
            'width' => 1,
8248
          },
8249
          'en_x14' => {
8250
            'attributes' => {
8251
              'bin_pt' => 0,
8252
              'is_floating_block' => 1,
8253
              'must_be_hdl_vector' => 1,
8254
              'period' => 1,
8255
              'port_id' => 0,
8256
              'simulinkName' => 'USER_LOGIC/en',
8257
              'type' => 'Bool',
8258
            },
8259
            'direction' => 'out',
8260
            'hdlType' => 'std_logic',
8261
            'width' => 1,
8262
          },
8263
          'en_x15' => {
8264
            'attributes' => {
8265
              'bin_pt' => 0,
8266
              'is_floating_block' => 1,
8267
              'must_be_hdl_vector' => 1,
8268
              'period' => 1,
8269
              'port_id' => 0,
8270
              'simulinkName' => 'USER_LOGIC/en',
8271
              'type' => 'Bool',
8272
            },
8273
            'direction' => 'out',
8274
            'hdlType' => 'std_logic',
8275
            'width' => 1,
8276
          },
8277
          'en_x16' => {
8278
            'attributes' => {
8279
              'bin_pt' => 0,
8280
              'is_floating_block' => 1,
8281
              'must_be_hdl_vector' => 1,
8282
              'period' => 1,
8283
              'port_id' => 0,
8284
              'simulinkName' => 'USER_LOGIC/en',
8285
              'type' => 'Bool',
8286
            },
8287
            'direction' => 'out',
8288
            'hdlType' => 'std_logic',
8289
            'width' => 1,
8290
          },
8291
          'en_x17' => {
8292
            'attributes' => {
8293
              'bin_pt' => 0,
8294
              'is_floating_block' => 1,
8295
              'must_be_hdl_vector' => 1,
8296
              'period' => 1,
8297
              'port_id' => 0,
8298
              'simulinkName' => 'USER_LOGIC/en',
8299
              'type' => 'Bool',
8300
            },
8301
            'direction' => 'out',
8302
            'hdlType' => 'std_logic',
8303
            'width' => 1,
8304
          },
8305
          'en_x18' => {
8306
            'attributes' => {
8307
              'bin_pt' => 0,
8308
              'is_floating_block' => 1,
8309
              'must_be_hdl_vector' => 1,
8310
              'period' => 1,
8311
              'port_id' => 0,
8312
              'simulinkName' => 'USER_LOGIC/en',
8313
              'type' => 'Bool',
8314
            },
8315
            'direction' => 'out',
8316
            'hdlType' => 'std_logic',
8317
            'width' => 1,
8318
          },
8319
          'en_x19' => {
8320
            'attributes' => {
8321
              'bin_pt' => 0,
8322
              'is_floating_block' => 1,
8323
              'must_be_hdl_vector' => 1,
8324
              'period' => 1,
8325
              'port_id' => 0,
8326
              'simulinkName' => 'USER_LOGIC/en',
8327
              'type' => 'Bool',
8328
            },
8329
            'direction' => 'out',
8330
            'hdlType' => 'std_logic',
8331
            'width' => 1,
8332
          },
8333
          'en_x2' => {
8334
            'attributes' => {
8335
              'bin_pt' => 0,
8336
              'is_floating_block' => 1,
8337
              'must_be_hdl_vector' => 1,
8338
              'period' => 1,
8339
              'port_id' => 0,
8340
              'simulinkName' => 'USER_LOGIC/en',
8341
              'type' => 'Bool',
8342
            },
8343
            'direction' => 'out',
8344
            'hdlType' => 'std_logic',
8345
            'width' => 1,
8346
          },
8347
          'en_x20' => {
8348
            'attributes' => {
8349
              'bin_pt' => 0,
8350
              'is_floating_block' => 1,
8351
              'must_be_hdl_vector' => 1,
8352
              'period' => 1,
8353
              'port_id' => 0,
8354
              'simulinkName' => 'USER_LOGIC/en',
8355
              'type' => 'Bool',
8356
            },
8357
            'direction' => 'out',
8358
            'hdlType' => 'std_logic',
8359
            'width' => 1,
8360
          },
8361
          'en_x21' => {
8362
            'attributes' => {
8363
              'bin_pt' => 0,
8364
              'is_floating_block' => 1,
8365
              'must_be_hdl_vector' => 1,
8366
              'period' => 1,
8367
              'port_id' => 0,
8368
              'simulinkName' => 'USER_LOGIC/en',
8369
              'type' => 'Bool',
8370
            },
8371
            'direction' => 'out',
8372
            'hdlType' => 'std_logic',
8373
            'width' => 1,
8374
          },
8375
          'en_x22' => {
8376
            'attributes' => {
8377
              'bin_pt' => 0,
8378
              'is_floating_block' => 1,
8379
              'must_be_hdl_vector' => 1,
8380
              'period' => 1,
8381
              'port_id' => 0,
8382
              'simulinkName' => 'USER_LOGIC/en',
8383
              'type' => 'Bool',
8384
            },
8385
            'direction' => 'out',
8386
            'hdlType' => 'std_logic',
8387
            'width' => 1,
8388
          },
8389
          'en_x23' => {
8390
            'attributes' => {
8391
              'bin_pt' => 0,
8392
              'is_floating_block' => 1,
8393
              'must_be_hdl_vector' => 1,
8394
              'period' => 1,
8395
              'port_id' => 0,
8396
              'simulinkName' => 'USER_LOGIC/en',
8397
              'type' => 'Bool',
8398
            },
8399
            'direction' => 'out',
8400
            'hdlType' => 'std_logic',
8401
            'width' => 1,
8402
          },
8403
          'en_x24' => {
8404
            'attributes' => {
8405
              'bin_pt' => 0,
8406
              'is_floating_block' => 1,
8407
              'must_be_hdl_vector' => 1,
8408
              'period' => 1,
8409
              'port_id' => 0,
8410
              'simulinkName' => 'USER_LOGIC/en',
8411
              'type' => 'Bool',
8412
            },
8413
            'direction' => 'out',
8414
            'hdlType' => 'std_logic',
8415
            'width' => 1,
8416
          },
8417
          'en_x25' => {
8418
            'attributes' => {
8419
              'bin_pt' => 0,
8420
              'is_floating_block' => 1,
8421
              'must_be_hdl_vector' => 1,
8422
              'period' => 1,
8423
              'port_id' => 0,
8424
              'simulinkName' => 'USER_LOGIC/en',
8425
              'type' => 'Bool',
8426
            },
8427
            'direction' => 'out',
8428
            'hdlType' => 'std_logic',
8429
            'width' => 1,
8430
          },
8431
          'en_x26' => {
8432
            'attributes' => {
8433
              'bin_pt' => 0,
8434
              'is_floating_block' => 1,
8435
              'must_be_hdl_vector' => 1,
8436
              'period' => 1,
8437
              'port_id' => 0,
8438
              'simulinkName' => 'USER_LOGIC/en',
8439
              'type' => 'Bool',
8440
            },
8441
            'direction' => 'out',
8442
            'hdlType' => 'std_logic',
8443
            'width' => 1,
8444
          },
8445
          'en_x3' => {
8446
            'attributes' => {
8447
              'bin_pt' => 0,
8448
              'is_floating_block' => 1,
8449
              'must_be_hdl_vector' => 1,
8450
              'period' => 1,
8451
              'port_id' => 0,
8452
              'simulinkName' => 'USER_LOGIC/en',
8453
              'type' => 'Bool',
8454
            },
8455
            'direction' => 'out',
8456
            'hdlType' => 'std_logic',
8457
            'width' => 1,
8458
          },
8459
          'en_x4' => {
8460
            'attributes' => {
8461
              'bin_pt' => 0,
8462
              'is_floating_block' => 1,
8463
              'must_be_hdl_vector' => 1,
8464
              'period' => 1,
8465
              'port_id' => 0,
8466
              'simulinkName' => 'USER_LOGIC/en',
8467
              'type' => 'Bool',
8468
            },
8469
            'direction' => 'out',
8470
            'hdlType' => 'std_logic',
8471
            'width' => 1,
8472
          },
8473
          'en_x5' => {
8474
            'attributes' => {
8475
              'bin_pt' => 0,
8476
              'is_floating_block' => 1,
8477
              'must_be_hdl_vector' => 1,
8478
              'period' => 1,
8479
              'port_id' => 0,
8480
              'simulinkName' => 'USER_LOGIC/en',
8481
              'type' => 'Bool',
8482
            },
8483
            'direction' => 'out',
8484
            'hdlType' => 'std_logic',
8485
            'width' => 1,
8486
          },
8487
          'en_x6' => {
8488
            'attributes' => {
8489
              'bin_pt' => 0,
8490
              'is_floating_block' => 1,
8491
              'must_be_hdl_vector' => 1,
8492
              'period' => 1,
8493
              'port_id' => 0,
8494
              'simulinkName' => 'USER_LOGIC/en',
8495
              'type' => 'Bool',
8496
            },
8497
            'direction' => 'out',
8498
            'hdlType' => 'std_logic',
8499
            'width' => 1,
8500
          },
8501
          'en_x7' => {
8502
            'attributes' => {
8503
              'bin_pt' => 0,
8504
              'is_floating_block' => 1,
8505
              'must_be_hdl_vector' => 1,
8506
              'period' => 1,
8507
              'port_id' => 0,
8508
              'simulinkName' => 'USER_LOGIC/en',
8509
              'type' => 'Bool',
8510
            },
8511
            'direction' => 'out',
8512
            'hdlType' => 'std_logic',
8513
            'width' => 1,
8514
          },
8515
          'en_x8' => {
8516
            'attributes' => {
8517
              'bin_pt' => 0,
8518
              'is_floating_block' => 1,
8519
              'must_be_hdl_vector' => 1,
8520
              'period' => 1,
8521
              'port_id' => 0,
8522
              'simulinkName' => 'USER_LOGIC/en',
8523
              'type' => 'Bool',
8524
            },
8525
            'direction' => 'out',
8526
            'hdlType' => 'std_logic',
8527
            'width' => 1,
8528
          },
8529
          'en_x9' => {
8530
            'attributes' => {
8531
              'bin_pt' => 0,
8532
              'is_floating_block' => 1,
8533
              'must_be_hdl_vector' => 1,
8534
              'period' => 1,
8535
              'port_id' => 0,
8536
              'simulinkName' => 'USER_LOGIC/en',
8537
              'type' => 'Bool',
8538
            },
8539
            'direction' => 'out',
8540
            'hdlType' => 'std_logic',
8541
            'width' => 1,
8542
          },
8543
          'fifo_rd_count' => {
8544
            'attributes' => {
8545
              'bin_pt' => 0,
8546
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_count.dat',
8547
              'is_floating_block' => 1,
8548
              'is_gateway_port' => 1,
8549
              'must_be_hdl_vector' => 1,
8550
              'period' => 1,
8551
              'port_id' => 0,
8552
              'simulinkName' => 'fifo_rd_count',
8553
              'source_block' => '',
8554
              'timingConstraint' => 'none',
8555
              'type' => 'UFix_15_0',
8556
            },
8557
            'direction' => 'in',
8558
            'hdlType' => 'std_logic_vector(14 downto 0)',
8559
            'width' => 15,
8560
          },
8561
          'fifo_rd_dout' => {
8562
            'attributes' => {
8563
              'bin_pt' => 0,
8564
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_dout.dat',
8565
              'is_floating_block' => 1,
8566
              'is_gateway_port' => 1,
8567
              'must_be_hdl_vector' => 1,
8568
              'period' => 1,
8569
              'port_id' => 1,
8570
              'simulinkName' => 'USER_LOGIC/FIFO_rd_dout',
8571
              'source_block' => 'USER_LOGIC',
8572
              'timingConstraint' => 'none',
8573
              'type' => 'UFix_72_0',
8574
            },
8575
            'direction' => 'in',
8576
            'hdlType' => 'std_logic_vector(71 downto 0)',
8577
            'width' => 72,
8578
          },
8579
          'fifo_rd_empty' => {
8580
            'attributes' => {
8581
              'bin_pt' => 0,
8582
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_empty.dat',
8583
              'is_floating_block' => 1,
8584
              'is_gateway_port' => 1,
8585
              'must_be_hdl_vector' => 1,
8586
              'period' => 1,
8587
              'port_id' => 2,
8588
              'simulinkName' => 'USER_LOGIC/FIFO_rd_empty',
8589
              'source_block' => 'USER_LOGIC',
8590
              'timingConstraint' => 'none',
8591
              'type' => 'Bool',
8592
            },
8593
            'direction' => 'in',
8594
            'hdlType' => 'std_logic',
8595
            'width' => 1,
8596
          },
8597
          'fifo_rd_en' => {
8598
            'attributes' => {
8599
              'bin_pt' => 0,
8600
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_en.dat',
8601
              'is_floating_block' => 1,
8602
              'is_gateway_port' => 1,
8603
              'must_be_hdl_vector' => 1,
8604
              'period' => 1,
8605
              'port_id' => 4,
8606
              'simulinkName' => 'USER_LOGIC/FIFO_rd_en',
8607
              'source_block' => 'USER_LOGIC',
8608
              'timingConstraint' => 'none',
8609
              'type' => 'Bool',
8610
            },
8611
            'direction' => 'out',
8612
            'hdlType' => 'std_logic',
8613
            'width' => 1,
8614
          },
8615
          'fifo_rd_pempty' => {
8616
            'attributes' => {
8617
              'bin_pt' => 0,
8618
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_pempty.dat',
8619
              'is_floating_block' => 1,
8620
              'is_gateway_port' => 1,
8621
              'must_be_hdl_vector' => 1,
8622
              'period' => 1,
8623
              'port_id' => 0,
8624
              'simulinkName' => 'fifo_rd_pempty',
8625
              'source_block' => '',
8626
              'timingConstraint' => 'none',
8627
              'type' => 'Bool',
8628
            },
8629
            'direction' => 'in',
8630
            'hdlType' => 'std_logic',
8631
            'width' => 1,
8632
          },
8633
          'fifo_rd_valid' => {
8634
            'attributes' => {
8635
              'bin_pt' => 0,
8636
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_rd_valid.dat',
8637
              'is_floating_block' => 1,
8638
              'is_gateway_port' => 1,
8639
              'must_be_hdl_vector' => 1,
8640
              'period' => 1,
8641
              'port_id' => 3,
8642
              'simulinkName' => 'USER_LOGIC/FIFO_rd_valid',
8643
              'source_block' => 'USER_LOGIC',
8644
              'timingConstraint' => 'none',
8645
              'type' => 'Bool',
8646
            },
8647
            'direction' => 'in',
8648
            'hdlType' => 'std_logic',
8649
            'width' => 1,
8650
          },
8651
          'fifo_wr_count' => {
8652
            'attributes' => {
8653
              'bin_pt' => 0,
8654
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_count.dat',
8655
              'is_floating_block' => 1,
8656
              'is_gateway_port' => 1,
8657
              'must_be_hdl_vector' => 1,
8658
              'period' => 1,
8659
              'port_id' => 0,
8660
              'simulinkName' => 'fifo_wr_count',
8661
              'source_block' => '',
8662
              'timingConstraint' => 'none',
8663
              'type' => 'UFix_15_0',
8664
            },
8665
            'direction' => 'in',
8666
            'hdlType' => 'std_logic_vector(14 downto 0)',
8667
            'width' => 15,
8668
          },
8669
          'fifo_wr_din' => {
8670
            'attributes' => {
8671
              'bin_pt' => 0,
8672
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_din.dat',
8673
              'is_floating_block' => 1,
8674
              'is_gateway_port' => 1,
8675
              'must_be_hdl_vector' => 1,
8676
              'period' => 1,
8677
              'port_id' => 22,
8678
              'simulinkName' => 'USER_LOGIC/FIFO_wr_din',
8679
              'source_block' => 'USER_LOGIC',
8680
              'timingConstraint' => 'none',
8681
              'type' => 'UFix_72_0',
8682
            },
8683
            'direction' => 'out',
8684
            'hdlType' => 'std_logic_vector(71 downto 0)',
8685
            'width' => 72,
8686
          },
8687
          'fifo_wr_en' => {
8688
            'attributes' => {
8689
              'bin_pt' => 0,
8690
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_en.dat',
8691
              'is_floating_block' => 1,
8692
              'is_gateway_port' => 1,
8693
              'must_be_hdl_vector' => 1,
8694
              'period' => 1,
8695
              'port_id' => 5,
8696
              'simulinkName' => 'USER_LOGIC/FIFO_wr_en',
8697
              'source_block' => 'USER_LOGIC',
8698
              'timingConstraint' => 'none',
8699
              'type' => 'Bool',
8700
            },
8701
            'direction' => 'out',
8702
            'hdlType' => 'std_logic',
8703
            'width' => 1,
8704
          },
8705
          'fifo_wr_full' => {
8706
            'attributes' => {
8707
              'bin_pt' => 0,
8708
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_full.dat',
8709
              'is_floating_block' => 1,
8710
              'is_gateway_port' => 1,
8711
              'must_be_hdl_vector' => 1,
8712
              'period' => 1,
8713
              'port_id' => 0,
8714
              'simulinkName' => 'fifo_wr_full',
8715
              'source_block' => '',
8716
              'timingConstraint' => 'none',
8717
              'type' => 'Bool',
8718
            },
8719
            'direction' => 'in',
8720
            'hdlType' => 'std_logic',
8721
            'width' => 1,
8722
          },
8723
          'fifo_wr_pfull' => {
8724
            'attributes' => {
8725
              'bin_pt' => 0,
8726
              'inputFile' => 'pcie_userlogic_00_user_logic_fifo_wr_pfull.dat',
8727
              'is_floating_block' => 1,
8728
              'is_gateway_port' => 1,
8729
              'must_be_hdl_vector' => 1,
8730
              'period' => 1,
8731
              'port_id' => 0,
8732
              'simulinkName' => 'fifo_wr_pfull',
8733
              'source_block' => '',
8734
              'timingConstraint' => 'none',
8735
              'type' => 'Bool',
8736
            },
8737
            'direction' => 'in',
8738
            'hdlType' => 'std_logic',
8739
            'width' => 1,
8740
          },
8741
          'rst_i' => {
8742
            'attributes' => {
8743
              'bin_pt' => 0,
8744
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_i.dat',
8745
              'is_floating_block' => 1,
8746
              'is_gateway_port' => 1,
8747
              'must_be_hdl_vector' => 1,
8748
              'period' => 1,
8749
              'port_id' => 32,
8750
              'simulinkName' => 'USER_LOGIC/rst_i',
8751
              'source_block' => 'USER_LOGIC',
8752
              'timingConstraint' => 'none',
8753
              'type' => 'Bool',
8754
            },
8755
            'direction' => 'in',
8756
            'hdlType' => 'std_logic',
8757
            'width' => 1,
8758
          },
8759
          'rst_o' => {
8760
            'attributes' => {
8761
              'bin_pt' => 0,
8762
              'inputFile' => 'pcie_userlogic_00_user_logic_rst_o.dat',
8763
              'is_floating_block' => 1,
8764
              'is_gateway_port' => 1,
8765
              'must_be_hdl_vector' => 1,
8766
              'period' => 1,
8767
              'port_id' => 19,
8768
              'simulinkName' => 'USER_LOGIC/rst_o',
8769
              'source_block' => 'USER_LOGIC',
8770
              'timingConstraint' => 'none',
8771
              'type' => 'Bool',
8772
            },
8773
            'direction' => 'out',
8774
            'hdlType' => 'std_logic',
8775
            'width' => 1,
8776
          },
8777
          'user_int_1o' => {
8778
            'attributes' => {
8779
              'bin_pt' => 0,
8780
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_1o.dat',
8781
              'is_floating_block' => 1,
8782
              'is_gateway_port' => 1,
8783
              'must_be_hdl_vector' => 1,
8784
              'period' => 1,
8785
              'port_id' => 20,
8786
              'simulinkName' => 'USER_LOGIC/user_int_1o',
8787
              'source_block' => 'USER_LOGIC',
8788
              'timingConstraint' => 'none',
8789
              'type' => 'Bool',
8790
            },
8791
            'direction' => 'out',
8792
            'hdlType' => 'std_logic',
8793
            'width' => 1,
8794
          },
8795
          'user_int_2o' => {
8796
            'attributes' => {
8797
              'bin_pt' => 0,
8798
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_2o.dat',
8799
              'is_floating_block' => 1,
8800
              'is_gateway_port' => 1,
8801
              'must_be_hdl_vector' => 1,
8802
              'period' => 1,
8803
              'port_id' => 30,
8804
              'simulinkName' => 'USER_LOGIC/user_int_2o',
8805
              'source_block' => 'USER_LOGIC',
8806
              'timingConstraint' => 'none',
8807
              'type' => 'Bool',
8808
            },
8809
            'direction' => 'out',
8810
            'hdlType' => 'std_logic',
8811
            'width' => 1,
8812
          },
8813
          'user_int_3o' => {
8814
            'attributes' => {
8815
              'bin_pt' => 0,
8816
              'inputFile' => 'pcie_userlogic_00_user_logic_user_int_3o.dat',
8817
              'is_floating_block' => 1,
8818
              'is_gateway_port' => 1,
8819
              'must_be_hdl_vector' => 1,
8820
              'period' => 1,
8821
              'port_id' => 25,
8822
              'simulinkName' => 'USER_LOGIC/user_int_3o',
8823
              'source_block' => 'USER_LOGIC',
8824
              'timingConstraint' => 'none',
8825
              'type' => 'Bool',
8826
            },
8827
            'direction' => 'out',
8828
            'hdlType' => 'std_logic',
8829
            'width' => 1,
8830
          },
8831
        },
8832
      },
8833
      'entityName' => 'user_logic',
8834
    },
8835
  },
8836
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.