OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.1/] [v6_eb_fifo_counted_new.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file v6_eb_fifo_counted_new.vhd when simulating
30
-- the core, v6_eb_fifo_counted_new. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY v6_eb_fifo_counted_new IS
44
        port (
45
        rst: IN std_logic;
46
        wr_clk: IN std_logic;
47
        rd_clk: IN std_logic;
48
        din: IN std_logic_VECTOR(71 downto 0);
49
        wr_en: IN std_logic;
50
        rd_en: IN std_logic;
51
        dout: OUT std_logic_VECTOR(71 downto 0);
52
        full: OUT std_logic;
53
        empty: OUT std_logic;
54
        valid: OUT std_logic;
55
        rd_data_count: OUT std_logic_VECTOR(14 downto 0);
56
        wr_data_count: OUT std_logic_VECTOR(14 downto 0);
57
        prog_full: OUT std_logic;
58
        prog_empty: OUT std_logic);
59
END v6_eb_fifo_counted_new;
60
 
61
ARCHITECTURE v6_eb_fifo_counted_new_a OF v6_eb_fifo_counted_new IS
62
-- synthesis translate_off
63
component wrapped_v6_eb_fifo_counted_new
64
        port (
65
        rst: IN std_logic;
66
        wr_clk: IN std_logic;
67
        rd_clk: IN std_logic;
68
        din: IN std_logic_VECTOR(71 downto 0);
69
        wr_en: IN std_logic;
70
        rd_en: IN std_logic;
71
        dout: OUT std_logic_VECTOR(71 downto 0);
72
        full: OUT std_logic;
73
        empty: OUT std_logic;
74
        valid: OUT std_logic;
75
        rd_data_count: OUT std_logic_VECTOR(14 downto 0);
76
        wr_data_count: OUT std_logic_VECTOR(14 downto 0);
77
        prog_full: OUT std_logic;
78
        prog_empty: OUT std_logic);
79
end component;
80
 
81
-- Configuration specification 
82
        for all : wrapped_v6_eb_fifo_counted_new use entity XilinxCoreLib.fifo_generator_v5_3(behavioral)
83
                generic map(
84
                        c_has_int_clk => 0,
85
                        c_wr_response_latency => 1,
86
                        c_rd_freq => 1,
87
                        c_has_srst => 0,
88
                        c_enable_rst_sync => 1,
89
                        c_has_rd_data_count => 1,
90
                        c_din_width => 72,
91
                        c_has_wr_data_count => 1,
92
                        c_full_flags_rst_val => 1,
93
                        c_implementation_type => 2,
94
                        c_family => "virtex6",
95
                        c_use_embedded_reg => 0,
96
                        c_has_wr_rst => 0,
97
                        c_wr_freq => 1,
98
                        c_use_dout_rst => 1,
99
                        c_underflow_low => 0,
100
                        c_has_meminit_file => 0,
101
                        c_has_overflow => 0,
102
                        c_preload_latency => 1,
103
                        c_dout_width => 72,
104
                        c_msgon_val => 1,
105
                        c_rd_depth => 32768,
106
                        c_default_value => "BlankString",
107
                        c_mif_file_name => "BlankString",
108
                        c_error_injection_type => 0,
109
                        c_has_underflow => 0,
110
                        c_has_rd_rst => 0,
111
                        c_has_almost_full => 0,
112
                        c_has_rst => 1,
113
                        c_data_count_width => 15,
114
                        c_has_wr_ack => 0,
115
                        c_use_ecc => 0,
116
                        c_wr_ack_low => 0,
117
                        c_common_clock => 0,
118
                        c_rd_pntr_width => 15,
119
                        c_use_fwft_data_count => 0,
120
                        c_has_almost_empty => 0,
121
                        c_rd_data_count_width => 15,
122
                        c_enable_rlocs => 0,
123
                        c_wr_pntr_width => 15,
124
                        c_overflow_low => 0,
125
                        c_prog_empty_type => 1,
126
                        c_optimization_mode => 0,
127
                        c_wr_data_count_width => 15,
128
                        c_preload_regs => 0,
129
                        c_dout_rst_val => "0",
130
                        c_has_data_count => 0,
131
                        c_prog_full_thresh_negate_val => 28670,
132
                        c_wr_depth => 32768,
133
                        c_prog_empty_thresh_negate_val => 4097,
134
                        c_prog_empty_thresh_assert_val => 4096,
135
                        c_has_valid => 1,
136
                        c_init_wr_pntr_val => 0,
137
                        c_prog_full_thresh_assert_val => 28671,
138
                        c_use_fifo16_flags => 0,
139
                        c_has_backup => 0,
140
                        c_valid_low => 0,
141
                        c_prim_fifo_type => "4kx9",
142
                        c_count_type => 0,
143
                        c_prog_full_type => 1,
144
                        c_memory_type => 1);
145
-- synthesis translate_on
146
BEGIN
147
-- synthesis translate_off
148
U0 : wrapped_v6_eb_fifo_counted_new
149
                port map (
150
                        rst => rst,
151
                        wr_clk => wr_clk,
152
                        rd_clk => rd_clk,
153
                        din => din,
154
                        wr_en => wr_en,
155
                        rd_en => rd_en,
156
                        dout => dout,
157
                        full => full,
158
                        empty => empty,
159
                        valid => valid,
160
                        rd_data_count => rd_data_count,
161
                        wr_data_count => wr_data_count,
162
                        prog_full => prog_full,
163
                        prog_empty => prog_empty);
164
-- synthesis translate_on
165
 
166
END v6_eb_fifo_counted_new_a;
167
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.