OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.1/] [v6_pcie_v1_3/] [source/] [v6_pcie_v1_3.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information of Xilinx, Inc.
6
// and is protected under U.S. and international copyright and other
7
// intellectual property laws.
8
//
9
// DISCLAIMER
10
//
11
// This disclaimer is not a license and does not grant any rights to the
12
// materials distributed herewith. Except as otherwise provided in a valid
13
// license issued to you by Xilinx, and to the maximum extent permitted by
14
// applicable law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL
15
// FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS,
16
// IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
17
// MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
18
// and (2) Xilinx shall not be liable (whether in contract or tort, including
19
// negligence, or under any other theory of liability) for any loss or damage
20
// of any kind or nature related to, arising under or in connection with these
21
// materials, including for any direct, or any indirect, special, incidental,
22
// or consequential loss or damage (including loss of data, profits, goodwill,
23
// or any type of loss or damage suffered as a result of any action brought by
24
// a third party) even if such damage or loss was reasonably foreseeable or
25
// Xilinx had been advised of the possibility of the same.
26
//
27
// CRITICAL APPLICATIONS
28
//
29
// Xilinx products are not designed or intended to be fail-safe, or for use in
30
// any application requiring fail-safe performance, such as life-support or
31
// safety devices or systems, Class III medical devices, nuclear facilities,
32
// applications related to the deployment of airbags, or any other
33
// applications that could lead to death, personal injury, or severe property
34
// or environmental damage (individually and collectively, "Critical
35
// Applications"). Customer assumes the sole risk and liability of any use of
36
// Xilinx products in Critical Applications, subject only to applicable laws
37
// and regulations governing limitations on product liability.
38
//
39
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE
40
// AT ALL TIMES.
41
//
42
//-----------------------------------------------------------------------------
43
// Project    : Virtex-6 Integrated Block for PCI Express
44
// File       : v6_pcie_v1_3.v
45
//--
46
//-- Description: Virtex6 solution wrapper : Endpoint for PCI Express
47
//--
48
//--
49
//--
50
//--------------------------------------------------------------------------------
51
 
52
`timescale 1ns/1ns
53
 
54
module v6_pcie_v1_3 # (
55
  parameter        ALLOW_X8_GEN2 = "FALSE",
56
  parameter        BAR0 = 32'hFFFF0000,
57
  parameter        BAR1 = 32'hFFF00000,
58
  parameter        BAR2 = 32'hFFFFF000,
59
  parameter        BAR3 = 32'h00000000,
60
  parameter        BAR4 = 32'h00000000,
61
  parameter        BAR5 = 32'h00000000,
62
 
63
  parameter        CARDBUS_CIS_POINTER = 32'h00000000,
64
  parameter        CLASS_CODE = 24'h050000,
65
  parameter        CMD_INTX_IMPLEMENTED = "TRUE",
66
  parameter        CPL_TIMEOUT_DISABLE_SUPPORTED = "FALSE",
67
  parameter        CPL_TIMEOUT_RANGES_SUPPORTED = 4'h2,
68
 
69
  parameter        DEV_CAP_ENDPOINT_L0S_LATENCY = 7,
70
  parameter        DEV_CAP_ENDPOINT_L1_LATENCY = 7,
71
  parameter        DEV_CAP_EXT_TAG_SUPPORTED = "FALSE",
72
  parameter        DEV_CAP_MAX_PAYLOAD_SUPPORTED = 2,
73
  parameter        DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT = 0,
74
  parameter        DEVICE_ID = 16'h6014,        //--S 16'h0153,
75
 
76
  parameter        DISABLE_LANE_REVERSAL = "TRUE",
77
  parameter        DISABLE_SCRAMBLING = "FALSE",
78
  parameter        DSN_BASE_PTR = 12'h100,
79
  parameter        DSN_CAP_NEXTPTR = 12'h000,
80
  parameter        DSN_CAP_ON = "TRUE",
81
 
82
  parameter        ENABLE_MSG_ROUTE = 11'h00000000000,
83
  parameter        ENABLE_RX_TD_ECRC_TRIM = "TRUE",
84
  parameter        EXPANSION_ROM = 32'h00000000,
85
  parameter        EXT_CFG_CAP_PTR = 6'h3F,
86
  parameter        EXT_CFG_XP_CAP_PTR = 10'h3FF,
87
  parameter        HEADER_TYPE = 8'h00,
88
  parameter        INTERRUPT_PIN = 8'h1,
89
 
90
  parameter        LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP = "FALSE",
91
  parameter        LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP = "FALSE",
92
  parameter        LINK_CAP_MAX_LINK_SPEED = 4'h1,
93
  parameter        LINK_CAP_MAX_LINK_WIDTH = 6'h04,
94
  parameter        LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE = "FALSE",
95
 
96
  parameter        LINK_CTRL2_DEEMPHASIS = "FALSE",
97
  parameter        LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE = "FALSE",
98
  parameter        LINK_CTRL2_TARGET_LINK_SPEED = 4'h0,
99
  parameter        LINK_STATUS_SLOT_CLOCK_CONFIG = "FALSE",
100
 
101
  parameter        LL_ACK_TIMEOUT = 15'h0000,
102
  parameter        LL_ACK_TIMEOUT_EN = "FALSE",
103
  parameter        LL_ACK_TIMEOUT_FUNC = 0,
104
  parameter        LL_REPLAY_TIMEOUT = 15'h0000,
105
  parameter        LL_REPLAY_TIMEOUT_EN = "FALSE",
106
  parameter        LL_REPLAY_TIMEOUT_FUNC = 0,
107
 
108
  parameter        LTSSM_MAX_LINK_WIDTH = LINK_CAP_MAX_LINK_WIDTH,
109
  parameter        MSI_CAP_MULTIMSGCAP = 0,
110
  parameter        MSI_CAP_MULTIMSG_EXTENSION = 0,
111
  parameter        MSI_CAP_ON = "TRUE",
112
  parameter        MSI_CAP_PER_VECTOR_MASKING_CAPABLE = "FALSE",
113
  parameter        MSI_CAP_64_BIT_ADDR_CAPABLE = "TRUE",
114
 
115
  parameter        MSIX_CAP_ON = "FALSE",
116
  parameter        MSIX_CAP_PBA_BIR = 0,
117
  parameter        MSIX_CAP_PBA_OFFSET = 29'h0,
118
  parameter        MSIX_CAP_TABLE_BIR = 0,
119
  parameter        MSIX_CAP_TABLE_OFFSET = 29'h0,
120
  parameter        MSIX_CAP_TABLE_SIZE = 11'h000,
121
 
122
  parameter        PCIE_CAP_DEVICE_PORT_TYPE = 4'b0000,
123
  parameter        PCIE_CAP_INT_MSG_NUM = 5'h1,
124
  parameter        PCIE_CAP_NEXTPTR = 8'h00,
125
  parameter        PCIE_DRP_ENABLE = "FALSE",
126
  parameter        PIPE_PIPELINE_STAGES = 0,                // 0 - 0 stages, 1 - 1 stage, 2 - 2 stages
127
 
128
  parameter        PM_CAP_DSI = "FALSE",
129
  parameter        PM_CAP_D1SUPPORT = "FALSE",
130
  parameter        PM_CAP_D2SUPPORT = "FALSE",
131
  parameter        PM_CAP_NEXTPTR = 8'h48,
132
  parameter        PM_CAP_PMESUPPORT = 5'h0F,
133
  parameter        PM_CSR_NOSOFTRST = "TRUE",
134
 
135
  parameter        PM_DATA_SCALE0 = 2'h0,
136
  parameter        PM_DATA_SCALE1 = 2'h0,
137
  parameter        PM_DATA_SCALE2 = 2'h0,
138
  parameter        PM_DATA_SCALE3 = 2'h0,
139
  parameter        PM_DATA_SCALE4 = 2'h0,
140
  parameter        PM_DATA_SCALE5 = 2'h0,
141
  parameter        PM_DATA_SCALE6 = 2'h0,
142
  parameter        PM_DATA_SCALE7 = 2'h0,
143
 
144
  parameter        PM_DATA0 = 8'h00,
145
  parameter        PM_DATA1 = 8'h00,
146
  parameter        PM_DATA2 = 8'h00,
147
  parameter        PM_DATA3 = 8'h00,
148
  parameter        PM_DATA4 = 8'h00,
149
  parameter        PM_DATA5 = 8'h00,
150
  parameter        PM_DATA6 = 8'h00,
151
  parameter        PM_DATA7 = 8'h00,
152
 
153
  parameter        REF_CLK_FREQ = 0,                        // 0 - 100 MHz, 1 - 125 MHz, 2 - 250 MHz
154
  parameter        REVISION_ID = 8'h06,
155
  parameter        SUBSYSTEM_ID = 16'hABB3,
156
  parameter        SUBSYSTEM_VENDOR_ID = 16'h0084,
157
 
158
  parameter        TL_RX_RAM_RADDR_LATENCY = 0,
159
  parameter        TL_RX_RAM_RDATA_LATENCY = 2,
160
  parameter        TL_RX_RAM_WRITE_LATENCY = 0,
161
  parameter        TL_TX_RAM_RADDR_LATENCY = 0,
162
  parameter        TL_TX_RAM_RDATA_LATENCY = 2,
163
  parameter        TL_TX_RAM_WRITE_LATENCY = 0,
164
 
165
  parameter        UPCONFIG_CAPABLE = "TRUE",
166
  parameter        USER_CLK_FREQ = 2,
167
  parameter        VC_BASE_PTR = 12'h0,
168
  parameter        VC_CAP_NEXTPTR = 12'h000,
169
  parameter        VC_CAP_ON = "FALSE",
170
  parameter        VC_CAP_REJECT_SNOOP_TRANSACTIONS = "FALSE",
171
 
172
  parameter        VC0_CPL_INFINITE = "TRUE",
173
  parameter        VC0_RX_RAM_LIMIT = 13'h7FF,
174
  parameter        VC0_TOTAL_CREDITS_CD = 308,
175
  parameter        VC0_TOTAL_CREDITS_CH = 36,
176
  parameter        VC0_TOTAL_CREDITS_NPH = 12,
177
  parameter        VC0_TOTAL_CREDITS_PD = 308,
178
  parameter        VC0_TOTAL_CREDITS_PH = 32,
179
  parameter        VC0_TX_LASTPACKET = 29,
180
 
181
  parameter        VENDOR_ID = 16'h10EE,                        //--S 16'h10DC,
182
  parameter        VSEC_BASE_PTR = 12'h0,
183
  parameter        VSEC_CAP_NEXTPTR = 12'h000,
184
  parameter        VSEC_CAP_ON = "FALSE",
185
 
186
  parameter        AER_BASE_PTR = 12'h128,
187
  parameter        AER_CAP_ECRC_CHECK_CAPABLE = "FALSE",
188
  parameter        AER_CAP_ECRC_GEN_CAPABLE = "FALSE",
189
  parameter        AER_CAP_ID = 16'h0001,
190
  parameter        AER_CAP_INT_MSG_NUM_MSI = 5'h0a,
191
  parameter        AER_CAP_INT_MSG_NUM_MSIX = 5'h15,
192
  parameter        AER_CAP_NEXTPTR = 12'h160,
193
  parameter        AER_CAP_ON = "FALSE",
194
  parameter        AER_CAP_PERMIT_ROOTERR_UPDATE = "TRUE",
195
  parameter        AER_CAP_VERSION = 4'h1,
196
 
197
  parameter        CAPABILITIES_PTR = 8'h40,
198
  parameter        CRM_MODULE_RSTS = 7'h00,
199
  parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE = "TRUE",
200
  parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE = "TRUE",
201
  parameter        DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE = "FALSE",
202
  parameter        DEV_CAP_ROLE_BASED_ERROR = "TRUE",
203
  parameter        DEV_CAP_RSVD_14_12 = 0,
204
  parameter        DEV_CAP_RSVD_17_16 = 0,
205
  parameter        DEV_CAP_RSVD_31_29 = 0,
206
  parameter        DEV_CONTROL_AUX_POWER_SUPPORTED = "FALSE",
207
 
208
  parameter        DISABLE_ASPM_L1_TIMER = "FALSE",
209
  parameter        DISABLE_BAR_FILTERING = "FALSE",
210
  parameter        DISABLE_ID_CHECK = "FALSE",
211
  parameter        DISABLE_RX_TC_FILTER = "FALSE",
212
  parameter        DNSTREAM_LINK_NUM = 8'h00,
213
 
214
  parameter        DSN_CAP_ID = 16'h0003,
215
  parameter        DSN_CAP_VERSION = 4'h1,
216
  parameter        ENTER_RVRY_EI_L0 = "TRUE",
217
  parameter        INFER_EI = 5'h0c,
218
  parameter        IS_SWITCH = "FALSE",
219
 
220
  parameter        LAST_CONFIG_DWORD = 10'h3FF,
221
  parameter        LINK_CAP_ASPM_SUPPORT = 1,
222
  parameter        LINK_CAP_CLOCK_POWER_MANAGEMENT = "FALSE",
223
  parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 = 7,
224
  parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 = 7,
225
  parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN1 = 7,
226
  parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN2 = 7,
227
  parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 = 7,
228
  parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 = 7,
229
  parameter        LINK_CAP_L1_EXIT_LATENCY_GEN1 = 7,
230
  parameter        LINK_CAP_L1_EXIT_LATENCY_GEN2 = 7,
231
  parameter        LINK_CAP_RSVD_23_22 = 0,
232
  parameter        LINK_CONTROL_RCB = 0,
233
 
234
  parameter        MSI_BASE_PTR = 8'h48,
235
  parameter        MSI_CAP_ID = 8'h05,
236
  parameter        MSI_CAP_NEXTPTR = 8'h60,
237
  parameter        MSIX_BASE_PTR = 8'h9c,
238
  parameter        MSIX_CAP_ID = 8'h11,
239
  parameter        MSIX_CAP_NEXTPTR = 8'h00,
240
  parameter        N_FTS_COMCLK_GEN1 = 255,
241
  parameter        N_FTS_COMCLK_GEN2 = 254,
242
  parameter        N_FTS_GEN1 = 255,
243
  parameter        N_FTS_GEN2 = 255,
244
 
245
  parameter        PCIE_BASE_PTR = 8'h60,
246
  parameter        PCIE_CAP_CAPABILITY_ID = 8'h10,
247
  parameter        PCIE_CAP_CAPABILITY_VERSION = 4'h2,
248
  parameter        PCIE_CAP_ON = "TRUE",
249
  parameter        PCIE_CAP_RSVD_15_14 = 0,
250
  parameter        PCIE_CAP_SLOT_IMPLEMENTED = "FALSE",
251
  parameter        PCIE_REVISION = 2,
252
  parameter        PGL0_LANE = 0,
253
  parameter        PGL1_LANE = 1,
254
  parameter        PGL2_LANE = 2,
255
  parameter        PGL3_LANE = 3,
256
  parameter        PGL4_LANE = 4,
257
  parameter        PGL5_LANE = 5,
258
  parameter        PGL6_LANE = 6,
259
  parameter        PGL7_LANE = 7,
260
  parameter        PL_AUTO_CONFIG = 0,
261
  parameter        PL_FAST_TRAIN = "FALSE",
262
 
263
  parameter        PM_BASE_PTR = 8'h40,
264
  parameter        PM_CAP_AUXCURRENT = 0,
265
  parameter        PM_CAP_ID = 8'h01,
266
  parameter        PM_CAP_ON = "TRUE",
267
  parameter        PM_CAP_PME_CLOCK = "FALSE",
268
  parameter        PM_CAP_RSVD_04 = 0,
269
  parameter        PM_CAP_VERSION = 3,
270
  parameter        PM_CSR_BPCCEN = "FALSE",
271
  parameter        PM_CSR_B2B3 = "FALSE",
272
 
273
  parameter        RECRC_CHK = 0,
274
  parameter        RECRC_CHK_TRIM = "FALSE",
275
  parameter        ROOT_CAP_CRS_SW_VISIBILITY = "FALSE",
276
  parameter        SELECT_DLL_IF = "FALSE",
277
  parameter        SLOT_CAP_ATT_BUTTON_PRESENT = "FALSE",
278
  parameter        SLOT_CAP_ATT_INDICATOR_PRESENT = "FALSE",
279
  parameter        SLOT_CAP_ELEC_INTERLOCK_PRESENT = "FALSE",
280
  parameter        SLOT_CAP_HOTPLUG_CAPABLE = "FALSE",
281
  parameter        SLOT_CAP_HOTPLUG_SURPRISE = "FALSE",
282
  parameter        SLOT_CAP_MRL_SENSOR_PRESENT = "FALSE",
283
  parameter        SLOT_CAP_NO_CMD_COMPLETED_SUPPORT = "FALSE",
284
  parameter        SLOT_CAP_PHYSICAL_SLOT_NUM = 13'h0000,
285
  parameter        SLOT_CAP_POWER_CONTROLLER_PRESENT = "FALSE",
286
  parameter        SLOT_CAP_POWER_INDICATOR_PRESENT = "FALSE",
287
  parameter        SLOT_CAP_SLOT_POWER_LIMIT_SCALE = 0,
288
  parameter        SLOT_CAP_SLOT_POWER_LIMIT_VALUE = 8'h00,
289
  parameter        SPARE_BIT0 = 0,
290
  parameter        SPARE_BIT1 = 0,
291
  parameter        SPARE_BIT2 = 0,
292
  parameter        SPARE_BIT3 = 0,
293
  parameter        SPARE_BIT4 = 0,
294
  parameter        SPARE_BIT5 = 0,
295
  parameter        SPARE_BIT6 = 0,
296
  parameter        SPARE_BIT7 = 0,
297
  parameter        SPARE_BIT8 = 0,
298
  parameter        SPARE_BYTE0 = 8'h00,
299
  parameter        SPARE_BYTE1 = 8'h00,
300
  parameter        SPARE_BYTE2 = 8'h00,
301
  parameter        SPARE_BYTE3 = 8'h00,
302
  parameter        SPARE_WORD0 = 32'h00000000,
303
  parameter        SPARE_WORD1 = 32'h00000000,
304
  parameter        SPARE_WORD2 = 32'h00000000,
305
  parameter        SPARE_WORD3 = 32'h00000000,
306
 
307
  parameter        TL_RBYPASS = "FALSE",
308
  parameter        TL_TFC_DISABLE = "FALSE",
309
  parameter        TL_TX_CHECKS_DISABLE = "FALSE",
310
  parameter        EXIT_LOOPBACK_ON_EI  = "TRUE",
311
  parameter        UPSTREAM_FACING = "TRUE",
312
  parameter        UR_INV_REQ = "TRUE",
313
 
314
  parameter        VC_CAP_ID = 16'h0002,
315
  parameter        VC_CAP_VERSION = 4'h1,
316
  parameter        VSEC_CAP_HDR_ID = 16'h1234,
317
  parameter        VSEC_CAP_HDR_LENGTH = 12'h018,
318
  parameter        VSEC_CAP_HDR_REVISION = 4'h1,
319
  parameter        VSEC_CAP_ID = 16'h000b,
320
  parameter        VSEC_CAP_IS_LINK_VISIBLE = "TRUE",
321
  parameter        VSEC_CAP_VERSION = 4'h1
322
)
323
(
324
  //-------------------------------------------------------
325
  // 1. PCI Express (pci_exp) Interface
326
  //-------------------------------------------------------
327
 
328
  // Tx
329
  output  [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_txp,
330
  output  [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_txn,
331
 
332
  // Rx
333
  input   [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_rxp,
334
  input   [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_rxn,
335
 
336
  //-------------------------------------------------------
337
  // 2. Transaction (TRN) Interface
338
  //-------------------------------------------------------
339
 
340
  // Common
341
  output                                        trn_clk,
342
  output                                        trn_reset_n,
343
  output                                        trn_lnk_up_n,
344
 
345
  // Tx
346
  output  [5:0]                                 trn_tbuf_av,
347
  output                                        trn_tcfg_req_n,
348
  output                                        trn_terr_drop_n,
349
  output                                        trn_tdst_rdy_n,
350
  input  [63:0]                                 trn_td,
351
  input                                         trn_trem_n,
352
  input                                         trn_tsof_n,
353
  input                                         trn_teof_n,
354
  input                                         trn_tsrc_rdy_n,
355
  input                                         trn_tsrc_dsc_n,
356
  input                                         trn_terrfwd_n,
357
  input                                         trn_tcfg_gnt_n,
358
  input                                         trn_tstr_n,
359
 
360
  // Rx
361
  output  [63:0]                                trn_rd,
362
  output                                        trn_rrem_n,
363
  output                                        trn_rsof_n,
364
  output                                        trn_reof_n,
365
  output                                        trn_rsrc_rdy_n,
366
  output                                        trn_rsrc_dsc_n,
367
  output                                        trn_rerrfwd_n,
368
  output  [6:0]                                 trn_rbar_hit_n,
369
  input                                         trn_rdst_rdy_n,
370
  input                                         trn_rnp_ok_n,
371
 
372
  // Flow Control
373
  output [11:0]                                 trn_fc_cpld,
374
  output  [7:0]                                 trn_fc_cplh,
375
  output [11:0]                                 trn_fc_npd,
376
  output  [7:0]                                 trn_fc_nph,
377
  output [11:0]                                 trn_fc_pd,
378
  output  [7:0]                                 trn_fc_ph,
379
  input   [2:0]                                 trn_fc_sel,
380
 
381
 
382
  //-------------------------------------------------------
383
  // 3. Configuration (CFG) Interface
384
  //-------------------------------------------------------
385
 
386
  output [31:0]                                 cfg_do,
387
  output                                        cfg_rd_wr_done_n,
388
  input  [31:0]                                 cfg_di,
389
  input   [3:0]                                 cfg_byte_en_n,
390
  input   [9:0]                                 cfg_dwaddr,
391
  input                                         cfg_wr_en_n,
392
  input                                         cfg_rd_en_n,
393
 
394
  input                                         cfg_err_cor_n,
395
  input                                         cfg_err_ur_n,
396
  input                                         cfg_err_ecrc_n,
397
  input                                         cfg_err_cpl_timeout_n,
398
  input                                         cfg_err_cpl_abort_n,
399
  input                                         cfg_err_cpl_unexpect_n,
400
  input                                         cfg_err_posted_n,
401
  input                                         cfg_err_locked_n,
402
  input  [47:0]                                 cfg_err_tlp_cpl_header,
403
  output                                        cfg_err_cpl_rdy_n,
404
  input                                         cfg_interrupt_n,
405
  output                                        cfg_interrupt_rdy_n,
406
  input                                         cfg_interrupt_assert_n,
407
  input  [7:0]                                  cfg_interrupt_di,
408
  output [7:0]                                  cfg_interrupt_do,
409
  output [2:0]                                  cfg_interrupt_mmenable,
410
  output                                        cfg_interrupt_msienable,
411
  output                                        cfg_interrupt_msixenable,
412
  output                                        cfg_interrupt_msixfm,
413
  input                                         cfg_turnoff_ok_n,
414
  output                                        cfg_to_turnoff_n,
415
  input                                         cfg_trn_pending_n,
416
  input                                         cfg_pm_wake_n,
417
  output  [7:0]                                 cfg_bus_number,
418
  output  [4:0]                                 cfg_device_number,
419
  output  [2:0]                                 cfg_function_number,
420
  output [15:0]                                 cfg_status,
421
  output [15:0]                                 cfg_command,
422
  output [15:0]                                 cfg_dstatus,
423
  output [15:0]                                 cfg_dcommand,
424
  output [15:0]                                 cfg_lstatus,
425
  output [15:0]                                 cfg_lcommand,
426
  output [15:0]                                 cfg_dcommand2,
427
  output  [2:0]                                 cfg_pcie_link_state_n,
428
  input  [63:0]                                 cfg_dsn,
429
  output                                        cfg_pmcsr_pme_en,
430
  output                                        cfg_pmcsr_pme_status,
431
  output  [1:0]                                 cfg_pmcsr_powerstate,
432
  output                                        lnk_clk_en,
433
 
434
  //-------------------------------------------------------
435
  // 4. Physical Layer Control and Status (PL) Interface
436
  //-------------------------------------------------------
437
 
438
  output [2:0]                                  pl_initial_link_width,
439
  output [1:0]                                  pl_lane_reversal_mode,
440
  output                                        pl_link_gen2_capable,
441
  output                                        pl_link_partner_gen2_supported,
442
  output                                        pl_link_upcfg_capable,
443
  output [5:0]                                  pl_ltssm_state,
444
  output                                        pl_received_hot_rst,
445
  output                                        pl_sel_link_rate,
446
  output [1:0]                                  pl_sel_link_width,
447
  input                                         pl_directed_link_auton,
448
  input  [1:0]                                  pl_directed_link_change,
449
  input                                         pl_directed_link_speed,
450
  input  [1:0]                                  pl_directed_link_width,
451
  input                                         pl_upstream_prefer_deemph,
452
 
453
  //-------------------------------------------------------
454
  // 5. System  (SYS) Interface
455
  //-------------------------------------------------------
456
 
457
  input                                         sys_clk,
458
  input                                         sys_reset_n
459
 
460
 
461
);
462
 
463
 
464
  wire                                          rx_func_level_reset_n;
465
  wire                                          cfg_msg_received;
466
  wire                                          cfg_msg_received_pme_to;
467
 
468
  wire                                          cfg_cmd_bme;
469
  wire                                          cfg_cmd_intdis;
470
  wire                                          cfg_cmd_io_en;
471
  wire                                          cfg_cmd_mem_en;
472
  wire                                          cfg_cmd_serr_en;
473
  wire                                          cfg_dev_control_aux_power_en ;
474
  wire                                          cfg_dev_control_corr_err_reporting_en ;
475
  wire                                          cfg_dev_control_enable_relaxed_order ;
476
  wire                                          cfg_dev_control_ext_tag_en ;
477
  wire                                          cfg_dev_control_fatal_err_reporting_en ;
478
  wire [2:0]                                    cfg_dev_control_maxpayload ;
479
  wire [2:0]                                    cfg_dev_control_max_read_req ;
480
  wire                                          cfg_dev_control_non_fatal_reporting_en ;
481
  wire                                          cfg_dev_control_nosnoop_en ;
482
  wire                                          cfg_dev_control_phantom_en ;
483
  wire                                          cfg_dev_control_ur_err_reporting_en ;
484
  wire                                          cfg_dev_control2_cpltimeout_dis ;
485
  wire [3:0]                                    cfg_dev_control2_cpltimeout_val ;
486
  wire                                          cfg_dev_status_corr_err_detected ;
487
  wire                                          cfg_dev_status_fatal_err_detected ;
488
  wire                                          cfg_dev_status_nonfatal_err_detected ;
489
  wire                                          cfg_dev_status_ur_detected ;
490
  wire                                          cfg_link_control_auto_bandwidth_int_en ;
491
  wire                                          cfg_link_control_bandwidth_int_en ;
492
  wire                                          cfg_link_control_hw_auto_width_dis ;
493
  wire                                          cfg_link_control_clock_pm_en ;
494
  wire                                          cfg_link_control_extended_sync ;
495
  wire                                          cfg_link_control_common_clock ;
496
  wire                                          cfg_link_control_retrain_link ;
497
  wire                                          cfg_link_control_linkdisable ;
498
  wire                                          cfg_link_control_rcb ;
499
  wire [1:0]                                    cfg_link_control_aspm_control ;
500
  wire                                          cfg_link_status_autobandwidth_status ;
501
  wire                                          cfg_link_status_bandwidth_status ;
502
  wire                                          cfg_link_status_dll_active ;
503
  wire                                          cfg_link_status_link_training ;
504
  wire [3:0]                                    cfg_link_status_negotiated_link_width ;
505
  wire [1:0]                                    cfg_link_status_current_speed ;
506
  wire [15:0]                                   cfg_msg_data;
507
 
508
  wire                                          sys_reset_n_d;
509
  wire                                          phy_rdy_n;
510
 
511
  wire                                          trn_lnk_up_n_int;
512
  wire                                          trn_lnk_up_n_int1;
513
 
514
  wire                                          trn_reset_n_int;
515
  wire                                          trn_reset_n_int1;
516
 
517
  reg  [7:0]                                    cfg_bus_number_d;
518
  reg  [4:0]                                    cfg_device_number_d;
519
  reg  [2:0]                                    cfg_function_number_d;
520
 
521
  // assigns to outputs
522
 
523
  assign                                        cfg_to_turnoff_n = ~cfg_msg_received_pme_to;
524
 
525
  assign                                        cfg_status = {16'b0};
526
 
527
  assign                                        cfg_command = {5'b0,
528
                                                               cfg_cmd_intdis,
529
                                                               1'b0,
530
                                                               cfg_cmd_serr_en,
531
                                                               5'b0,
532
                                                               cfg_cmd_bme,
533
                                                               cfg_cmd_mem_en,
534
                                                               cfg_cmd_io_en};
535
 
536
  assign                                        cfg_dstatus = {10'h0,
537
                                                               ~cfg_trn_pending_n,
538
                                                               1'b0,
539
                                                               cfg_dev_status_ur_detected,
540
                                                               cfg_dev_status_fatal_err_detected,
541
                                                               cfg_dev_status_nonfatal_err_detected,
542
                                                               cfg_dev_status_corr_err_detected};
543
 
544
  assign                                        cfg_dcommand = {1'b0,
545
                                                               cfg_dev_control_max_read_req,
546
                                                               cfg_dev_control_nosnoop_en,
547
                                                               cfg_dev_control_aux_power_en,
548
                                                               cfg_dev_control_phantom_en,
549
                                                               cfg_dev_control_ext_tag_en,
550
                                                               cfg_dev_control_maxpayload,
551
                                                               cfg_dev_control_enable_relaxed_order,
552
                                                               cfg_dev_control_ur_err_reporting_en,
553
                                                               cfg_dev_control_fatal_err_reporting_en,
554
                                                               cfg_dev_control_non_fatal_reporting_en,
555
                                                               cfg_dev_control_corr_err_reporting_en };
556
 
557
  assign                                        cfg_lstatus = {cfg_link_status_autobandwidth_status,
558
                                                               cfg_link_status_bandwidth_status,
559
                                                               cfg_link_status_dll_active,
560
                                                               (LINK_STATUS_SLOT_CLOCK_CONFIG == "TRUE") ? 1'b1 : 1'b0,
561
                                                               cfg_link_status_link_training,
562
                                                               1'b0,
563
                                                               {2'b00, cfg_link_status_negotiated_link_width},
564
                                                               {2'b00, cfg_link_status_current_speed} };
565
 
566
  assign                                        cfg_lcommand = {cfg_link_control_auto_bandwidth_int_en,
567
                                                                cfg_link_control_bandwidth_int_en,
568
                                                                cfg_link_control_hw_auto_width_dis,
569
                                                                cfg_link_control_clock_pm_en,
570
                                                                cfg_link_control_extended_sync,
571
                                                                cfg_link_control_common_clock,
572
                                                                cfg_link_control_retrain_link,
573
                                                                cfg_link_control_linkdisable,
574
                                                                cfg_link_control_rcb,
575
                                                                1'b0,
576
                                                                cfg_link_control_aspm_control};
577
 
578
  assign                                        cfg_bus_number = cfg_bus_number_d;
579
 
580
  assign                                        cfg_device_number = cfg_device_number_d;
581
 
582
  assign                                        cfg_function_number =  cfg_function_number_d;
583
 
584
  assign                                        cfg_dcommand2 = {11'b0,
585
                                                                 cfg_dev_control2_cpltimeout_dis,
586
                                                                 cfg_dev_control2_cpltimeout_val};
587
 
588
  // Capture Bus/Device/Function number
589
 
590
  always @(posedge trn_clk) begin
591
    if      (trn_lnk_up_n)      cfg_bus_number_d <= 8'b0;
592
    else if (~cfg_msg_received) cfg_bus_number_d <= cfg_msg_data[15:8];
593
  end
594
 
595
  always @(posedge trn_clk) begin
596
      if      (trn_lnk_up_n)      cfg_device_number_d <= 5'b0;
597
      else if (~cfg_msg_received) cfg_device_number_d <= cfg_msg_data[7:3];
598
  end
599
 
600
  always @(posedge trn_clk) begin
601
      if      (trn_lnk_up_n)      cfg_function_number_d <= 3'b0;
602
      else if (~cfg_msg_received) cfg_function_number_d <= cfg_msg_data[2:0];
603
  end
604
 
605
  // Generate trn_lnk_up_n
606
 
607
FDCP #(
608
 
609
  .INIT(1'b1)
610
 
611
) trn_lnk_up_n_i (
612
 
613
  .Q (trn_lnk_up_n),
614
  .D (trn_lnk_up_n_int1),
615
  .C (trn_clk),
616
  .CLR (1'b0),
617
  .PRE (1'b0)
618
 
619
);
620
 
621
FDCP #(
622
 
623
  .INIT(1'b1)
624
 
625
) trn_lnk_up_n_int_i (
626
 
627
  .Q (trn_lnk_up_n_int1),
628
  .D (trn_lnk_up_n_int),
629
  .C (trn_clk),
630
  .CLR (1'b0),
631
  .PRE (1'b0)
632
 
633
);
634
 
635
  // Generate trn_reset_n
636
 
637
FDCP #(
638
 
639
  .INIT(1'b0)
640
 
641
) trn_reset_n_i (
642
 
643
  .Q (trn_reset_n),
644
  .D (trn_reset_n_int1 & ~phy_rdy_n),
645
  .C (trn_clk),
646
  .CLR (~sys_reset_n_d),
647
  .PRE (1'b0)
648
 
649
);
650
 
651
FDCP #(
652
 
653
  .INIT(1'b0)
654
 
655
) trn_reset_n_int_i (
656
 
657
  .Q (trn_reset_n_int1 ),
658
  .D (trn_reset_n_int & ~phy_rdy_n),
659
  .C (trn_clk),
660
  .CLR (~sys_reset_n_d),
661
  .PRE (1'b0)
662
 
663
);
664
 
665
 
666
 
667
//-------------------------------------------------------
668
// PCI Express Reset Delay Module
669
//-------------------------------------------------------
670
 
671
pcie_reset_delay_v6 #(
672
 
673
  .PL_FAST_TRAIN          ( PL_FAST_TRAIN ),
674
  .REF_CLK_FREQ           ( REF_CLK_FREQ )
675
 
676
)
677
pcie_reset_delay_i (
678
 
679
  .ref_clk                ( sys_clk_bufg ),
680
  .sys_reset_n            ( sys_reset_n ),
681
  .delayed_sys_reset_n    ( sys_reset_n_d )
682
 
683
);
684
 
685
//-------------------------------------------------------
686
// PCI Express Clocking Module
687
//-------------------------------------------------------
688
 
689
pcie_clocking_v6 #(
690
 
691
  .CAP_LINK_WIDTH(LINK_CAP_MAX_LINK_WIDTH),
692
  .CAP_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
693
  .REF_CLK_FREQ(REF_CLK_FREQ),
694
  .USER_CLK_FREQ(USER_CLK_FREQ)
695
 
696
)
697
pcie_clocking_i (
698
 
699
  .sys_clk                 ( sys_clk ),
700
  .gt_pll_lock             ( gt_pll_lock ),
701
  .sel_lnk_rate            ( pl_sel_link_rate ),
702
  .sel_lnk_width           ( pl_sel_link_width ),
703
 
704
  .sys_clk_bufg            ( sys_clk_bufg ),
705
  .pipe_clk                ( pipe_clk ),
706
  .user_clk                ( user_clk ),
707
  .block_clk               ( block_clk ),
708
  .clock_locked            ( clock_locked )
709
 
710
);
711
 
712
//-------------------------------------------------------
713
// Virtex6 PCI Express Block Module
714
//-------------------------------------------------------
715
 
716
pcie_2_0_v6 #(
717
 
718
  .REF_CLK_FREQ ( REF_CLK_FREQ ),
719
  .PIPE_PIPELINE_STAGES ( PIPE_PIPELINE_STAGES ),
720
  .AER_BASE_PTR ( AER_BASE_PTR ),
721
  .AER_CAP_ECRC_CHECK_CAPABLE ( AER_CAP_ECRC_CHECK_CAPABLE ),
722
  .AER_CAP_ECRC_GEN_CAPABLE ( AER_CAP_ECRC_GEN_CAPABLE ),
723
  .AER_CAP_ID ( AER_CAP_ID ),
724
  .AER_CAP_INT_MSG_NUM_MSI ( AER_CAP_INT_MSG_NUM_MSI ),
725
  .AER_CAP_INT_MSG_NUM_MSIX ( AER_CAP_INT_MSG_NUM_MSIX ),
726
  .AER_CAP_NEXTPTR ( AER_CAP_NEXTPTR ),
727
  .AER_CAP_ON ( AER_CAP_ON ),
728
  .AER_CAP_PERMIT_ROOTERR_UPDATE ( AER_CAP_PERMIT_ROOTERR_UPDATE ),
729
  .AER_CAP_VERSION ( AER_CAP_VERSION ),
730
  .ALLOW_X8_GEN2 ( ALLOW_X8_GEN2 ),
731
  .BAR0 ( BAR0 ),
732
  .BAR1 ( BAR1 ),
733
  .BAR2 ( BAR2 ),
734
  .BAR3 ( BAR3 ),
735
  .BAR4 ( BAR4 ),
736
  .BAR5 ( BAR5 ),
737
  .CAPABILITIES_PTR ( CAPABILITIES_PTR ),
738
  .CARDBUS_CIS_POINTER ( CARDBUS_CIS_POINTER ),
739
  .CLASS_CODE ( CLASS_CODE ),
740
  .CMD_INTX_IMPLEMENTED ( CMD_INTX_IMPLEMENTED ),
741
  .CPL_TIMEOUT_DISABLE_SUPPORTED ( CPL_TIMEOUT_DISABLE_SUPPORTED ),
742
  .CPL_TIMEOUT_RANGES_SUPPORTED ( CPL_TIMEOUT_RANGES_SUPPORTED ),
743
  .CRM_MODULE_RSTS ( CRM_MODULE_RSTS ),
744
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ),
745
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ),
746
  .DEV_CAP_ENDPOINT_L0S_LATENCY ( DEV_CAP_ENDPOINT_L0S_LATENCY ),
747
  .DEV_CAP_ENDPOINT_L1_LATENCY ( DEV_CAP_ENDPOINT_L1_LATENCY ),
748
  .DEV_CAP_EXT_TAG_SUPPORTED ( DEV_CAP_EXT_TAG_SUPPORTED ),
749
  .DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ( DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ),
750
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED ( DEV_CAP_MAX_PAYLOAD_SUPPORTED ),
751
  .DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ( DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ),
752
  .DEV_CAP_ROLE_BASED_ERROR ( DEV_CAP_ROLE_BASED_ERROR ),
753
  .DEV_CAP_RSVD_14_12 ( DEV_CAP_RSVD_14_12 ),
754
  .DEV_CAP_RSVD_17_16 ( DEV_CAP_RSVD_17_16 ),
755
  .DEV_CAP_RSVD_31_29 ( DEV_CAP_RSVD_31_29 ),
756
  .DEV_CONTROL_AUX_POWER_SUPPORTED ( DEV_CONTROL_AUX_POWER_SUPPORTED ),
757
  .DEVICE_ID ( DEVICE_ID ),
758
  .DISABLE_ASPM_L1_TIMER ( DISABLE_ASPM_L1_TIMER ),
759
  .DISABLE_BAR_FILTERING ( DISABLE_BAR_FILTERING ),
760
  .DISABLE_ID_CHECK ( DISABLE_ID_CHECK ),
761
  .DISABLE_LANE_REVERSAL ( DISABLE_LANE_REVERSAL ),
762
  .DISABLE_RX_TC_FILTER ( DISABLE_RX_TC_FILTER ),
763
  .DISABLE_SCRAMBLING ( DISABLE_SCRAMBLING ),
764
  .DNSTREAM_LINK_NUM ( DNSTREAM_LINK_NUM ),
765
  .DSN_BASE_PTR ( DSN_BASE_PTR ),
766
  .DSN_CAP_ID ( DSN_CAP_ID ),
767
  .DSN_CAP_NEXTPTR ( DSN_CAP_NEXTPTR ),
768
  .DSN_CAP_ON ( DSN_CAP_ON ),
769
  .DSN_CAP_VERSION ( DSN_CAP_VERSION ),
770
  .ENABLE_MSG_ROUTE ( ENABLE_MSG_ROUTE ),
771
  .ENABLE_RX_TD_ECRC_TRIM ( ENABLE_RX_TD_ECRC_TRIM ),
772
  .ENTER_RVRY_EI_L0 ( ENTER_RVRY_EI_L0 ),
773
  .EXPANSION_ROM ( EXPANSION_ROM ),
774
  .EXT_CFG_CAP_PTR ( EXT_CFG_CAP_PTR ),
775
  .EXT_CFG_XP_CAP_PTR ( EXT_CFG_XP_CAP_PTR ),
776
  .HEADER_TYPE ( HEADER_TYPE ),
777
  .INFER_EI ( INFER_EI ),
778
  .INTERRUPT_PIN ( INTERRUPT_PIN ),
779
  .IS_SWITCH ( IS_SWITCH ),
780
  .LAST_CONFIG_DWORD ( LAST_CONFIG_DWORD ),
781
  .LINK_CAP_ASPM_SUPPORT ( LINK_CAP_ASPM_SUPPORT ),
782
  .LINK_CAP_CLOCK_POWER_MANAGEMENT ( LINK_CAP_CLOCK_POWER_MANAGEMENT ),
783
  .LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ( LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ),
784
  .LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ( LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ),
785
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ),
786
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ),
787
  .LINK_CAP_L0S_EXIT_LATENCY_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_GEN1 ),
788
  .LINK_CAP_L0S_EXIT_LATENCY_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_GEN2 ),
789
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ),
790
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ),
791
  .LINK_CAP_L1_EXIT_LATENCY_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_GEN1 ),
792
  .LINK_CAP_L1_EXIT_LATENCY_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_GEN2 ),
793
  .LINK_CAP_MAX_LINK_SPEED ( LINK_CAP_MAX_LINK_SPEED ),
794
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
795
  .LINK_CAP_RSVD_23_22 ( LINK_CAP_RSVD_23_22 ),
796
  .LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ( LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ),
797
  .LINK_CONTROL_RCB ( LINK_CONTROL_RCB ),
798
  .LINK_CTRL2_DEEMPHASIS ( LINK_CTRL2_DEEMPHASIS ),
799
  .LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ( LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ),
800
  .LINK_CTRL2_TARGET_LINK_SPEED ( LINK_CTRL2_TARGET_LINK_SPEED ),
801
  .LINK_STATUS_SLOT_CLOCK_CONFIG ( LINK_STATUS_SLOT_CLOCK_CONFIG ),
802
  .LL_ACK_TIMEOUT ( LL_ACK_TIMEOUT ),
803
  .LL_ACK_TIMEOUT_EN ( LL_ACK_TIMEOUT_EN ),
804
  .LL_ACK_TIMEOUT_FUNC ( LL_ACK_TIMEOUT_FUNC ),
805
  .LL_REPLAY_TIMEOUT ( LL_REPLAY_TIMEOUT ),
806
  .LL_REPLAY_TIMEOUT_EN ( LL_REPLAY_TIMEOUT_EN ),
807
  .LL_REPLAY_TIMEOUT_FUNC ( LL_REPLAY_TIMEOUT_FUNC ),
808
  .LTSSM_MAX_LINK_WIDTH ( LTSSM_MAX_LINK_WIDTH ),
809
  .MSI_BASE_PTR ( MSI_BASE_PTR ),
810
  .MSI_CAP_ID ( MSI_CAP_ID ),
811
  .MSI_CAP_MULTIMSGCAP ( MSI_CAP_MULTIMSGCAP ),
812
  .MSI_CAP_MULTIMSG_EXTENSION ( MSI_CAP_MULTIMSG_EXTENSION ),
813
  .MSI_CAP_NEXTPTR ( MSI_CAP_NEXTPTR ),
814
  .MSI_CAP_ON ( MSI_CAP_ON ),
815
  .MSI_CAP_PER_VECTOR_MASKING_CAPABLE ( MSI_CAP_PER_VECTOR_MASKING_CAPABLE ),
816
  .MSI_CAP_64_BIT_ADDR_CAPABLE ( MSI_CAP_64_BIT_ADDR_CAPABLE ),
817
  .MSIX_BASE_PTR ( MSIX_BASE_PTR ),
818
  .MSIX_CAP_ID ( MSIX_CAP_ID ),
819
  .MSIX_CAP_NEXTPTR ( MSIX_CAP_NEXTPTR ),
820
  .MSIX_CAP_ON ( MSIX_CAP_ON ),
821
  .MSIX_CAP_PBA_BIR ( MSIX_CAP_PBA_BIR ),
822
  .MSIX_CAP_PBA_OFFSET ( MSIX_CAP_PBA_OFFSET ),
823
  .MSIX_CAP_TABLE_BIR ( MSIX_CAP_TABLE_BIR ),
824
  .MSIX_CAP_TABLE_OFFSET ( MSIX_CAP_TABLE_OFFSET ),
825
  .MSIX_CAP_TABLE_SIZE ( MSIX_CAP_TABLE_SIZE ),
826
  .N_FTS_COMCLK_GEN1 ( N_FTS_COMCLK_GEN1 ),
827
  .N_FTS_COMCLK_GEN2 ( N_FTS_COMCLK_GEN2 ),
828
  .N_FTS_GEN1 ( N_FTS_GEN1 ),
829
  .N_FTS_GEN2 ( N_FTS_GEN2 ),
830
  .PCIE_BASE_PTR ( PCIE_BASE_PTR ),
831
  .PCIE_CAP_CAPABILITY_ID ( PCIE_CAP_CAPABILITY_ID ),
832
  .PCIE_CAP_CAPABILITY_VERSION ( PCIE_CAP_CAPABILITY_VERSION ),
833
  .PCIE_CAP_DEVICE_PORT_TYPE ( PCIE_CAP_DEVICE_PORT_TYPE ),
834
  .PCIE_CAP_INT_MSG_NUM ( PCIE_CAP_INT_MSG_NUM ),
835
  .PCIE_CAP_NEXTPTR ( PCIE_CAP_NEXTPTR ),
836
  .PCIE_CAP_ON ( PCIE_CAP_ON ),
837
  .PCIE_CAP_RSVD_15_14 ( PCIE_CAP_RSVD_15_14 ),
838
  .PCIE_CAP_SLOT_IMPLEMENTED ( PCIE_CAP_SLOT_IMPLEMENTED ),
839
  .PCIE_REVISION ( PCIE_REVISION ),
840
  .PGL0_LANE ( PGL0_LANE ),
841
  .PGL1_LANE ( PGL1_LANE ),
842
  .PGL2_LANE ( PGL2_LANE ),
843
  .PGL3_LANE ( PGL3_LANE ),
844
  .PGL4_LANE ( PGL4_LANE ),
845
  .PGL5_LANE ( PGL5_LANE ),
846
  .PGL6_LANE ( PGL6_LANE ),
847
  .PGL7_LANE ( PGL7_LANE ),
848
  .PL_AUTO_CONFIG ( PL_AUTO_CONFIG ),
849
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
850
  .PM_BASE_PTR ( PM_BASE_PTR ),
851
  .PM_CAP_AUXCURRENT ( PM_CAP_AUXCURRENT ),
852
  .PM_CAP_DSI ( PM_CAP_DSI ),
853
  .PM_CAP_D1SUPPORT ( PM_CAP_D1SUPPORT ),
854
  .PM_CAP_D2SUPPORT ( PM_CAP_D2SUPPORT ),
855
  .PM_CAP_ID ( PM_CAP_ID ),
856
  .PM_CAP_NEXTPTR ( PM_CAP_NEXTPTR ),
857
  .PM_CAP_ON ( PM_CAP_ON ),
858
  .PM_CAP_PME_CLOCK ( PM_CAP_PME_CLOCK ),
859
  .PM_CAP_PMESUPPORT ( PM_CAP_PMESUPPORT ),
860
  .PM_CAP_RSVD_04 ( PM_CAP_RSVD_04 ),
861
  .PM_CAP_VERSION ( PM_CAP_VERSION ),
862
  .PM_CSR_BPCCEN ( PM_CSR_BPCCEN ),
863
  .PM_CSR_B2B3 ( PM_CSR_B2B3 ),
864
  .PM_CSR_NOSOFTRST ( PM_CSR_NOSOFTRST ),
865
  .PM_DATA_SCALE0 ( PM_DATA_SCALE0 ),
866
  .PM_DATA_SCALE1 ( PM_DATA_SCALE1 ),
867
  .PM_DATA_SCALE2 ( PM_DATA_SCALE2 ),
868
  .PM_DATA_SCALE3 ( PM_DATA_SCALE3 ),
869
  .PM_DATA_SCALE4 ( PM_DATA_SCALE4 ),
870
  .PM_DATA_SCALE5 ( PM_DATA_SCALE5 ),
871
  .PM_DATA_SCALE6 ( PM_DATA_SCALE6 ),
872
  .PM_DATA_SCALE7 ( PM_DATA_SCALE7 ),
873
  .PM_DATA0 ( PM_DATA0 ),
874
  .PM_DATA1 ( PM_DATA1 ),
875
  .PM_DATA2 ( PM_DATA2 ),
876
  .PM_DATA3 ( PM_DATA3 ),
877
  .PM_DATA4 ( PM_DATA4 ),
878
  .PM_DATA5 ( PM_DATA5 ),
879
  .PM_DATA6 ( PM_DATA6 ),
880
  .PM_DATA7 ( PM_DATA7 ),
881
  .RECRC_CHK ( RECRC_CHK ),
882
  .RECRC_CHK_TRIM ( RECRC_CHK_TRIM ),
883
  .REVISION_ID ( REVISION_ID ),
884
  .ROOT_CAP_CRS_SW_VISIBILITY ( ROOT_CAP_CRS_SW_VISIBILITY ),
885
  .SELECT_DLL_IF ( SELECT_DLL_IF ),
886
  .SLOT_CAP_ATT_BUTTON_PRESENT ( SLOT_CAP_ATT_BUTTON_PRESENT ),
887
  .SLOT_CAP_ATT_INDICATOR_PRESENT ( SLOT_CAP_ATT_INDICATOR_PRESENT ),
888
  .SLOT_CAP_ELEC_INTERLOCK_PRESENT ( SLOT_CAP_ELEC_INTERLOCK_PRESENT ),
889
  .SLOT_CAP_HOTPLUG_CAPABLE ( SLOT_CAP_HOTPLUG_CAPABLE ),
890
  .SLOT_CAP_HOTPLUG_SURPRISE ( SLOT_CAP_HOTPLUG_SURPRISE ),
891
  .SLOT_CAP_MRL_SENSOR_PRESENT ( SLOT_CAP_MRL_SENSOR_PRESENT ),
892
  .SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ( SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ),
893
  .SLOT_CAP_PHYSICAL_SLOT_NUM ( SLOT_CAP_PHYSICAL_SLOT_NUM ),
894
  .SLOT_CAP_POWER_CONTROLLER_PRESENT ( SLOT_CAP_POWER_CONTROLLER_PRESENT ),
895
  .SLOT_CAP_POWER_INDICATOR_PRESENT ( SLOT_CAP_POWER_INDICATOR_PRESENT ),
896
  .SLOT_CAP_SLOT_POWER_LIMIT_SCALE ( SLOT_CAP_SLOT_POWER_LIMIT_SCALE ),
897
  .SLOT_CAP_SLOT_POWER_LIMIT_VALUE ( SLOT_CAP_SLOT_POWER_LIMIT_VALUE ),
898
  .SPARE_BIT0 ( SPARE_BIT0 ),
899
  .SPARE_BIT1 ( SPARE_BIT1 ),
900
  .SPARE_BIT2 ( SPARE_BIT2 ),
901
  .SPARE_BIT3 ( SPARE_BIT3 ),
902
  .SPARE_BIT4 ( SPARE_BIT4 ),
903
  .SPARE_BIT5 ( SPARE_BIT5 ),
904
  .SPARE_BIT6 ( SPARE_BIT6 ),
905
  .SPARE_BIT7 ( SPARE_BIT7 ),
906
  .SPARE_BIT8 ( SPARE_BIT8 ),
907
  .SPARE_BYTE0 ( SPARE_BYTE0 ),
908
  .SPARE_BYTE1 ( SPARE_BYTE1 ),
909
  .SPARE_BYTE2 ( SPARE_BYTE2 ),
910
  .SPARE_BYTE3 ( SPARE_BYTE3 ),
911
  .SPARE_WORD0 ( SPARE_WORD0 ),
912
  .SPARE_WORD1 ( SPARE_WORD1 ),
913
  .SPARE_WORD2 ( SPARE_WORD2 ),
914
  .SPARE_WORD3 ( SPARE_WORD3 ),
915
  .SUBSYSTEM_ID ( SUBSYSTEM_ID ),
916
  .SUBSYSTEM_VENDOR_ID ( SUBSYSTEM_VENDOR_ID ),
917
  .TL_RBYPASS ( TL_RBYPASS ),
918
  .TL_RX_RAM_RADDR_LATENCY ( TL_RX_RAM_RADDR_LATENCY ),
919
  .TL_RX_RAM_RDATA_LATENCY ( TL_RX_RAM_RDATA_LATENCY ),
920
  .TL_RX_RAM_WRITE_LATENCY ( TL_RX_RAM_WRITE_LATENCY ),
921
  .TL_TFC_DISABLE ( TL_TFC_DISABLE ),
922
  .TL_TX_CHECKS_DISABLE ( TL_TX_CHECKS_DISABLE ),
923
  .TL_TX_RAM_RADDR_LATENCY ( TL_TX_RAM_RADDR_LATENCY ),
924
  .TL_TX_RAM_RDATA_LATENCY ( TL_TX_RAM_RDATA_LATENCY ),
925
  .TL_TX_RAM_WRITE_LATENCY ( TL_TX_RAM_WRITE_LATENCY ),
926
  .UPCONFIG_CAPABLE ( UPCONFIG_CAPABLE ),
927
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
928
  .EXIT_LOOPBACK_ON_EI ( EXIT_LOOPBACK_ON_EI ),
929
  .UR_INV_REQ ( UR_INV_REQ ),
930
  .USER_CLK_FREQ ( USER_CLK_FREQ ),
931
  .VC_BASE_PTR ( VC_BASE_PTR ),
932
  .VC_CAP_ID ( VC_CAP_ID ),
933
  .VC_CAP_NEXTPTR ( VC_CAP_NEXTPTR ),
934
  .VC_CAP_ON ( VC_CAP_ON ),
935
  .VC_CAP_REJECT_SNOOP_TRANSACTIONS ( VC_CAP_REJECT_SNOOP_TRANSACTIONS ),
936
  .VC_CAP_VERSION ( VC_CAP_VERSION ),
937
  .VC0_CPL_INFINITE ( VC0_CPL_INFINITE ),
938
  .VC0_RX_RAM_LIMIT ( VC0_RX_RAM_LIMIT ),
939
  .VC0_TOTAL_CREDITS_CD ( VC0_TOTAL_CREDITS_CD ),
940
  .VC0_TOTAL_CREDITS_CH ( VC0_TOTAL_CREDITS_CH ),
941
  .VC0_TOTAL_CREDITS_NPH ( VC0_TOTAL_CREDITS_NPH ),
942
  .VC0_TOTAL_CREDITS_PD ( VC0_TOTAL_CREDITS_PD ),
943
  .VC0_TOTAL_CREDITS_PH ( VC0_TOTAL_CREDITS_PH ),
944
  .VC0_TX_LASTPACKET ( VC0_TX_LASTPACKET ),
945
  .VENDOR_ID ( VENDOR_ID ),
946
  .VSEC_BASE_PTR ( VSEC_BASE_PTR ),
947
  .VSEC_CAP_HDR_ID ( VSEC_CAP_HDR_ID ),
948
  .VSEC_CAP_HDR_LENGTH ( VSEC_CAP_HDR_LENGTH ),
949
  .VSEC_CAP_HDR_REVISION ( VSEC_CAP_HDR_REVISION ),
950
  .VSEC_CAP_ID ( VSEC_CAP_ID ),
951
  .VSEC_CAP_IS_LINK_VISIBLE ( VSEC_CAP_IS_LINK_VISIBLE ),
952
  .VSEC_CAP_NEXTPTR ( VSEC_CAP_NEXTPTR ),
953
  .VSEC_CAP_ON ( VSEC_CAP_ON ),
954
  .VSEC_CAP_VERSION ( VSEC_CAP_VERSION )
955
 
956
)
957
pcie_2_0_i (
958
 
959
  .PCIEXPRXN( pci_exp_rxn ),
960
  .PCIEXPRXP( pci_exp_rxp ),
961
  .PCIEXPTXN( pci_exp_txn ),
962
  .PCIEXPTXP( pci_exp_txp ),
963
 
964
  .SYSCLK( sys_clk ),
965
  .TRNLNKUPN( trn_lnk_up_n_int ),
966
  .TRNCLK( trn_clk ),
967
 
968
  .FUNDRSTN (sys_reset_n_d),
969
  .PHYRDYN( phy_rdy_n ),
970
 
971
  .LNKCLKEN ( lnk_clk_en ),
972
  .USERRSTN( trn_reset_n_int ),
973
  .RECEIVEDFUNCLVLRSTN( rx_func_level_reset_n ),
974
  .SYSRSTN( ~phy_rdy_n ),
975
  .PLRSTN( 1'b1 ),
976
  .DLRSTN( 1'b1 ),
977
  .TLRSTN( 1'b1 ),
978
  .FUNCLVLRSTN( 1'b1 ),
979
  .CMRSTN( 1'b1 ),
980
  .CMSTICKYRSTN( 1'b1 ),
981
 
982
  .TRNRBARHITN( trn_rbar_hit_n ),
983
  .TRNRD( trn_rd ),
984
  .TRNRECRCERRN( ),
985
  .TRNREOFN( trn_reof_n ),
986
  .TRNRERRFWDN( trn_rerrfwd_n ),
987
  .TRNRREMN( trn_rrem_n ),
988
  .TRNRSOFN( trn_rsof_n ),
989
  .TRNRSRCDSCN( trn_rsrc_dsc_n ),
990
  .TRNRSRCRDYN( trn_rsrc_rdy_n ),
991
  .TRNRDSTRDYN( trn_rdst_rdy_n ),
992
  .TRNRNPOKN( trn_rnp_ok_n ),
993
 
994
  .TRNTBUFAV( trn_tbuf_av ),
995
  .TRNTCFGREQN( trn_tcfg_req_n ),
996
  .TRNTDLLPDSTRDYN( ),
997
  .TRNTDSTRDYN( trn_tdst_rdy_n ),
998
  .TRNTERRDROPN( trn_terr_drop_n ),
999
  .TRNTCFGGNTN( trn_tcfg_gnt_n ),
1000
  .TRNTD( trn_td ),
1001
  .TRNTDLLPDATA( 32'b0 ),
1002
  .TRNTDLLPSRCRDYN( 1'b1 ),
1003
  .TRNTECRCGENN( 1'b1 ),
1004
  .TRNTEOFN( trn_teof_n ),
1005
  .TRNTERRFWDN( trn_terrfwd_n ),
1006
  .TRNTREMN( trn_trem_n ),
1007
  .TRNTSOFN( trn_tsof_n ),
1008
  .TRNTSRCDSCN( trn_tsrc_dsc_n ),
1009
  .TRNTSRCRDYN( trn_tsrc_rdy_n ),
1010
  .TRNTSTRN( trn_tstr_n ),
1011
 
1012
  .TRNFCCPLD( trn_fc_cpld ),
1013
  .TRNFCCPLH( trn_fc_cplh ),
1014
  .TRNFCNPD( trn_fc_npd ),
1015
  .TRNFCNPH( trn_fc_nph ),
1016
  .TRNFCPD( trn_fc_pd ),
1017
  .TRNFCPH( trn_fc_ph ),
1018
  .TRNFCSEL( trn_fc_sel ),
1019
 
1020
  .CFGAERECRCCHECKEN(),
1021
  .CFGAERECRCGENEN(),
1022
  .CFGCOMMANDBUSMASTERENABLE( cfg_cmd_bme ),
1023
  .CFGCOMMANDINTERRUPTDISABLE( cfg_cmd_intdis ),
1024
  .CFGCOMMANDIOENABLE( cfg_cmd_io_en ),
1025
  .CFGCOMMANDMEMENABLE( cfg_cmd_mem_en ),
1026
  .CFGCOMMANDSERREN( cfg_cmd_serr_en ),
1027
  .CFGDEVCONTROLAUXPOWEREN( cfg_dev_control_aux_power_en ),
1028
  .CFGDEVCONTROLCORRERRREPORTINGEN( cfg_dev_control_corr_err_reporting_en ),
1029
  .CFGDEVCONTROLENABLERO( cfg_dev_control_enable_relaxed_order ),
1030
  .CFGDEVCONTROLEXTTAGEN( cfg_dev_control_ext_tag_en ),
1031
  .CFGDEVCONTROLFATALERRREPORTINGEN( cfg_dev_control_fatal_err_reporting_en ),
1032
  .CFGDEVCONTROLMAXPAYLOAD( cfg_dev_control_maxpayload ),
1033
  .CFGDEVCONTROLMAXREADREQ( cfg_dev_control_max_read_req ),
1034
  .CFGDEVCONTROLNONFATALREPORTINGEN( cfg_dev_control_non_fatal_reporting_en ),
1035
  .CFGDEVCONTROLNOSNOOPEN( cfg_dev_control_nosnoop_en ),
1036
  .CFGDEVCONTROLPHANTOMEN( cfg_dev_control_phantom_en ),
1037
  .CFGDEVCONTROLURERRREPORTINGEN( cfg_dev_control_ur_err_reporting_en ),
1038
  .CFGDEVCONTROL2CPLTIMEOUTDIS( cfg_dev_control2_cpltimeout_dis ),
1039
  .CFGDEVCONTROL2CPLTIMEOUTVAL( cfg_dev_control2_cpltimeout_val ),
1040
  .CFGDEVSTATUSCORRERRDETECTED( cfg_dev_status_corr_err_detected ),
1041
  .CFGDEVSTATUSFATALERRDETECTED( cfg_dev_status_fatal_err_detected ),
1042
  .CFGDEVSTATUSNONFATALERRDETECTED( cfg_dev_status_nonfatal_err_detected ),
1043
  .CFGDEVSTATUSURDETECTED( cfg_dev_status_ur_detected ),
1044
  .CFGDO( cfg_do ),
1045
  .CFGERRAERHEADERLOGSETN(),
1046
  .CFGERRCPLRDYN( cfg_err_cpl_rdy_n ),
1047
  .CFGINTERRUPTDO( cfg_interrupt_do ),
1048
  .CFGINTERRUPTMMENABLE( cfg_interrupt_mmenable ),
1049
  .CFGINTERRUPTMSIENABLE( cfg_interrupt_msienable ),
1050
  .CFGINTERRUPTMSIXENABLE( cfg_interrupt_msixenable ),
1051
  .CFGINTERRUPTMSIXFM( cfg_interrupt_msixfm ),
1052
  .CFGINTERRUPTRDYN( cfg_interrupt_rdy_n ),
1053
  .CFGLINKCONTROLRCB( cfg_link_control_rcb ),
1054
  .CFGLINKCONTROLASPMCONTROL( cfg_link_control_aspm_control ),
1055
  .CFGLINKCONTROLAUTOBANDWIDTHINTEN( cfg_link_control_auto_bandwidth_int_en ),
1056
  .CFGLINKCONTROLBANDWIDTHINTEN( cfg_link_control_bandwidth_int_en ),
1057
  .CFGLINKCONTROLCLOCKPMEN( cfg_link_control_clock_pm_en ),
1058
  .CFGLINKCONTROLCOMMONCLOCK( cfg_link_control_common_clock ),
1059
  .CFGLINKCONTROLEXTENDEDSYNC( cfg_link_control_extended_sync ),
1060
  .CFGLINKCONTROLHWAUTOWIDTHDIS( cfg_link_control_hw_auto_width_dis ),
1061
  .CFGLINKCONTROLLINKDISABLE( cfg_link_control_linkdisable ),
1062
  .CFGLINKCONTROLRETRAINLINK( cfg_link_control_retrain_link ),
1063
  .CFGLINKSTATUSAUTOBANDWIDTHSTATUS( cfg_link_status_autobandwidth_status ),
1064
  .CFGLINKSTATUSBANDWITHSTATUS( cfg_link_status_bandwidth_status ),
1065
  .CFGLINKSTATUSCURRENTSPEED( cfg_link_status_current_speed ),
1066
  .CFGLINKSTATUSDLLACTIVE( cfg_link_status_dll_active ),
1067
  .CFGLINKSTATUSLINKTRAINING( cfg_link_status_link_training ),
1068
  .CFGLINKSTATUSNEGOTIATEDWIDTH( cfg_link_status_negotiated_link_width ),
1069
  .CFGMSGDATA( cfg_msg_data ),
1070
  .CFGMSGRECEIVED( cfg_msg_received ),
1071
  .CFGMSGRECEIVEDASSERTINTA(),
1072
  .CFGMSGRECEIVEDASSERTINTB(),
1073
  .CFGMSGRECEIVEDASSERTINTC(),
1074
  .CFGMSGRECEIVEDASSERTINTD(),
1075
  .CFGMSGRECEIVEDDEASSERTINTA(),
1076
  .CFGMSGRECEIVEDDEASSERTINTB(),
1077
  .CFGMSGRECEIVEDDEASSERTINTC(),
1078
  .CFGMSGRECEIVEDDEASSERTINTD(),
1079
  .CFGMSGRECEIVEDERRCOR(),
1080
  .CFGMSGRECEIVEDERRFATAL(),
1081
  .CFGMSGRECEIVEDERRNONFATAL(),
1082
  .CFGMSGRECEIVEDPMASNAK(),
1083
  .CFGMSGRECEIVEDPMETO( cfg_msg_received_pme_to ),
1084
  .CFGMSGRECEIVEDPMETOACK(),
1085
  .CFGMSGRECEIVEDPMPME(),
1086
  .CFGMSGRECEIVEDSETSLOTPOWERLIMIT(),
1087
  .CFGMSGRECEIVEDUNLOCK(),
1088
  .CFGPCIELINKSTATE( cfg_pcie_link_state_n ),
1089
  .CFGPMCSRPMEEN ( cfg_pmcsr_pme_en ),
1090
  .CFGPMCSRPMESTATUS ( cfg_pmcsr_pme_status ),
1091
  .CFGPMCSRPOWERSTATE ( cfg_pmcsr_powerstate ),
1092
  .CFGPMRCVASREQL1N(),
1093
  .CFGPMRCVENTERL1N(),
1094
  .CFGPMRCVENTERL23N(),
1095
  .CFGPMRCVREQACKN(),
1096
  .CFGRDWRDONEN( cfg_rd_wr_done_n ),
1097
  .CFGSLOTCONTROLELECTROMECHILCTLPULSE(),
1098
  .CFGTRANSACTION(),
1099
  .CFGTRANSACTIONADDR(),
1100
  .CFGTRANSACTIONTYPE(),
1101
  .CFGVCTCVCMAP(),
1102
  .CFGBYTEENN( cfg_byte_en_n ),
1103
  .CFGDI( cfg_di ),
1104
  .CFGDSBUSNUMBER( 8'b0 ),
1105
  .CFGDSDEVICENUMBER( 5'b0 ),
1106
  .CFGDSFUNCTIONNUMBER( 3'b0 ),
1107
  .CFGDSN( cfg_dsn ),
1108
  .CFGDWADDR( cfg_dwaddr ),
1109
  .CFGERRACSN( 1'b1 ),
1110
  .CFGERRAERHEADERLOG( 128'h0 ),
1111
  .CFGERRCORN( cfg_err_cor_n ),
1112
  .CFGERRCPLABORTN( cfg_err_cpl_abort_n ),
1113
  .CFGERRCPLTIMEOUTN( cfg_err_cpl_timeout_n ),
1114
  .CFGERRCPLUNEXPECTN( cfg_err_cpl_unexpect_n ),
1115
  .CFGERRECRCN( cfg_err_ecrc_n ),
1116
  .CFGERRLOCKEDN( cfg_err_locked_n ),
1117
  .CFGERRPOSTEDN( cfg_err_posted_n ),
1118
  .CFGERRTLPCPLHEADER( cfg_err_tlp_cpl_header ),
1119
  .CFGERRURN( cfg_err_ur_n ),
1120
  .CFGINTERRUPTASSERTN( cfg_interrupt_assert_n ),
1121
  .CFGINTERRUPTDI( cfg_interrupt_di ),
1122
  .CFGINTERRUPTN( cfg_interrupt_n ),
1123
  .CFGPMDIRECTASPML1N( 1'b1 ),
1124
  .CFGPMSENDPMACKN( 1'b1 ),
1125
  .CFGPMSENDPMETON( 1'b1 ),
1126
  .CFGPMSENDPMNAKN( 1'b1 ),
1127
  .CFGPMTURNOFFOKN( cfg_turnoff_ok_n ),
1128
  .CFGPMWAKEN( cfg_pm_wake_n ),
1129
  .CFGPORTNUMBER( 8'h0 ),
1130
  .CFGRDENN( cfg_rd_en_n ),
1131
  .CFGTRNPENDINGN( cfg_trn_pending_n ),
1132
  .CFGWRENN( cfg_wr_en_n ),
1133
  .CFGWRREADONLYN( 1'b1 ),
1134
  .CFGWRRW1CASRWN( 1'b1 ),
1135
 
1136
  .PLINITIALLINKWIDTH( pl_initial_link_width ),
1137
  .PLLANEREVERSALMODE( pl_lane_reversal_mode ),
1138
  .PLLINKGEN2CAP( pl_link_gen2_capable ),
1139
  .PLLINKPARTNERGEN2SUPPORTED( pl_link_partner_gen2_supported ),
1140
  .PLLINKUPCFGCAP( pl_link_upcfg_capable ),
1141
  .PLLTSSMSTATE( pl_ltssm_state ),
1142
  .PLPHYLNKUPN( ),                                            // Debug
1143
  .PLRECEIVEDHOTRST( pl_received_hot_rst ),
1144
  .PLRXPMSTATE(),                                             // Debug
1145
  .PLSELLNKRATE( pl_sel_link_rate ),
1146
  .PLSELLNKWIDTH( pl_sel_link_width ),
1147
  .PLTXPMSTATE(),                                             // Debug
1148
  .PLDIRECTEDLINKAUTON( pl_directed_link_auton ),
1149
  .PLDIRECTEDLINKCHANGE( pl_directed_link_change ),
1150
  .PLDIRECTEDLINKSPEED( pl_directed_link_speed ),
1151
  .PLDIRECTEDLINKWIDTH( pl_directed_link_width ),
1152
  .PLDOWNSTREAMDEEMPHSOURCE( 1'b0 ),
1153
  .PLUPSTREAMPREFERDEEMPH( pl_upstream_prefer_deemph ),
1154
  .PLTRANSMITHOTRST( 1'b0 ),
1155
 
1156
  .DBGSCLRA(),
1157
  .DBGSCLRB(),
1158
  .DBGSCLRC(),
1159
  .DBGSCLRD(),
1160
  .DBGSCLRE(),
1161
  .DBGSCLRF(),
1162
  .DBGSCLRG(),
1163
  .DBGSCLRH(),
1164
  .DBGSCLRI(),
1165
  .DBGSCLRJ(),
1166
  .DBGSCLRK(),
1167
  .DBGVECA(),
1168
  .DBGVECB(),
1169
  .DBGVECC(),
1170
  .PLDBGVEC(),
1171
  .DBGMODE( 2'b0 ),
1172
  .DBGSUBMODE( 1'b0 ),
1173
  .PLDBGMODE( 3'b0 ),
1174
 
1175
  .DRPDO(),
1176
  .DRPDRDY(),
1177
  .DRPCLK(1'b0),
1178
  .DRPDADDR(9'b0),
1179
  .DRPDEN(1'b0),
1180
  .DRPDI(16'b0),
1181
  .DRPDWE(1'b0),
1182
 
1183
  .GTPLLLOCK( gt_pll_lock ),
1184
  .PIPECLK( pipe_clk ),
1185
  .USERCLK( user_clk ),
1186
  .CLOCKLOCKED( clock_locked )
1187
 
1188
 
1189
);
1190
 
1191
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.