OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.1/] [v6_pkt_counter_1024.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file v6_pkt_counter_1024.vhd when simulating
30
-- the core, v6_pkt_counter_1024. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY v6_pkt_counter_1024 IS
44
        port (
45
        rst: IN std_logic;
46
        wr_clk: IN std_logic;
47
        rd_clk: IN std_logic;
48
        din: IN std_logic_VECTOR(0 downto 0);
49
        wr_en: IN std_logic;
50
        rd_en: IN std_logic;
51
        dout: OUT std_logic_VECTOR(0 downto 0);
52
        full: OUT std_logic;
53
        empty: OUT std_logic;
54
        prog_full: OUT std_logic;
55
        prog_empty: OUT std_logic);
56
END v6_pkt_counter_1024;
57
 
58
ARCHITECTURE v6_pkt_counter_1024_a OF v6_pkt_counter_1024 IS
59
-- synthesis translate_off
60
component wrapped_v6_pkt_counter_1024
61
        port (
62
        rst: IN std_logic;
63
        wr_clk: IN std_logic;
64
        rd_clk: IN std_logic;
65
        din: IN std_logic_VECTOR(0 downto 0);
66
        wr_en: IN std_logic;
67
        rd_en: IN std_logic;
68
        dout: OUT std_logic_VECTOR(0 downto 0);
69
        full: OUT std_logic;
70
        empty: OUT std_logic;
71
        prog_full: OUT std_logic;
72
        prog_empty: OUT std_logic);
73
end component;
74
 
75
-- Configuration specification 
76
        for all : wrapped_v6_pkt_counter_1024 use entity XilinxCoreLib.fifo_generator_v5_3(behavioral)
77
                generic map(
78
                        c_has_int_clk => 0,
79
                        c_wr_response_latency => 1,
80
                        c_rd_freq => 1,
81
                        c_has_srst => 0,
82
                        c_enable_rst_sync => 1,
83
                        c_has_rd_data_count => 0,
84
                        c_din_width => 1,
85
                        c_has_wr_data_count => 0,
86
                        c_full_flags_rst_val => 1,
87
                        c_implementation_type => 2,
88
                        c_family => "virtex6",
89
                        c_use_embedded_reg => 0,
90
                        c_has_wr_rst => 0,
91
                        c_wr_freq => 1,
92
                        c_use_dout_rst => 1,
93
                        c_underflow_low => 0,
94
                        c_has_meminit_file => 0,
95
                        c_has_overflow => 0,
96
                        c_preload_latency => 1,
97
                        c_dout_width => 1,
98
                        c_msgon_val => 1,
99
                        c_rd_depth => 1024,
100
                        c_default_value => "BlankString",
101
                        c_mif_file_name => "BlankString",
102
                        c_error_injection_type => 0,
103
                        c_has_underflow => 0,
104
                        c_has_rd_rst => 0,
105
                        c_has_almost_full => 0,
106
                        c_has_rst => 1,
107
                        c_data_count_width => 10,
108
                        c_has_wr_ack => 0,
109
                        c_use_ecc => 0,
110
                        c_wr_ack_low => 0,
111
                        c_common_clock => 0,
112
                        c_rd_pntr_width => 10,
113
                        c_use_fwft_data_count => 0,
114
                        c_has_almost_empty => 0,
115
                        c_rd_data_count_width => 10,
116
                        c_enable_rlocs => 0,
117
                        c_wr_pntr_width => 10,
118
                        c_overflow_low => 0,
119
                        c_prog_empty_type => 1,
120
                        c_optimization_mode => 0,
121
                        c_wr_data_count_width => 10,
122
                        c_preload_regs => 0,
123
                        c_dout_rst_val => "0",
124
                        c_has_data_count => 0,
125
                        c_prog_full_thresh_negate_val => 1015,
126
                        c_wr_depth => 1024,
127
                        c_prog_empty_thresh_negate_val => 3,
128
                        c_prog_empty_thresh_assert_val => 2,
129
                        c_has_valid => 0,
130
                        c_init_wr_pntr_val => 0,
131
                        c_prog_full_thresh_assert_val => 1016,
132
                        c_use_fifo16_flags => 0,
133
                        c_has_backup => 0,
134
                        c_valid_low => 0,
135
                        c_prim_fifo_type => "1kx18",
136
                        c_count_type => 0,
137
                        c_prog_full_type => 1,
138
                        c_memory_type => 2);
139
-- synthesis translate_on
140
BEGIN
141
-- synthesis translate_off
142
U0 : wrapped_v6_pkt_counter_1024
143
                port map (
144
                        rst => rst,
145
                        wr_clk => wr_clk,
146
                        rd_clk => rd_clk,
147
                        din => din,
148
                        wr_en => wr_en,
149
                        rd_en => rd_en,
150
                        dout => dout,
151
                        full => full,
152
                        empty => empty,
153
                        prog_full => prog_full,
154
                        prog_empty => prog_empty);
155
-- synthesis translate_on
156
 
157
END v6_pkt_counter_1024_a;
158
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.