OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.1/] [v6_sfifo_15x128.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file v6_sfifo_15x128.v when simulating
34
// the core, v6_sfifo_15x128. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module v6_sfifo_15x128(
41
        clk,
42
        rst,
43
        din,
44
        wr_en,
45
        rd_en,
46
        dout,
47
        full,
48
        empty,
49
        prog_full,
50
        prog_empty);
51
 
52
 
53
input clk;
54
input rst;
55
input [127 : 0] din;
56
input wr_en;
57
input rd_en;
58
output [127 : 0] dout;
59
output full;
60
output empty;
61
output prog_full;
62
output prog_empty;
63
 
64
// synthesis translate_off
65
 
66
      FIFO_GENERATOR_V5_3 #(
67
                .C_COMMON_CLOCK(1),
68
                .C_COUNT_TYPE(0),
69
                .C_DATA_COUNT_WIDTH(4),
70
                .C_DEFAULT_VALUE("BlankString"),
71
                .C_DIN_WIDTH(128),
72
                .C_DOUT_RST_VAL("0"),
73
                .C_DOUT_WIDTH(128),
74
                .C_ENABLE_RLOCS(0),
75
                .C_ENABLE_RST_SYNC(1),
76
                .C_ERROR_INJECTION_TYPE(0),
77
                .C_FAMILY("virtex6"),
78
                .C_FULL_FLAGS_RST_VAL(1),
79
                .C_HAS_ALMOST_EMPTY(0),
80
                .C_HAS_ALMOST_FULL(0),
81
                .C_HAS_BACKUP(0),
82
                .C_HAS_DATA_COUNT(0),
83
                .C_HAS_INT_CLK(0),
84
                .C_HAS_MEMINIT_FILE(0),
85
                .C_HAS_OVERFLOW(0),
86
                .C_HAS_RD_DATA_COUNT(0),
87
                .C_HAS_RD_RST(0),
88
                .C_HAS_RST(1),
89
                .C_HAS_SRST(0),
90
                .C_HAS_UNDERFLOW(0),
91
                .C_HAS_VALID(0),
92
                .C_HAS_WR_ACK(0),
93
                .C_HAS_WR_DATA_COUNT(0),
94
                .C_HAS_WR_RST(0),
95
                .C_IMPLEMENTATION_TYPE(1),
96
                .C_INIT_WR_PNTR_VAL(0),
97
                .C_MEMORY_TYPE(3),
98
                .C_MIF_FILE_NAME("BlankString"),
99
                .C_MSGON_VAL(1),
100
                .C_OPTIMIZATION_MODE(0),
101
                .C_OVERFLOW_LOW(0),
102
                .C_PRELOAD_LATENCY(1),
103
                .C_PRELOAD_REGS(0),
104
                .C_PRIM_FIFO_TYPE("512x72"),
105
                .C_PROG_EMPTY_THRESH_ASSERT_VAL(2),
106
                .C_PROG_EMPTY_THRESH_NEGATE_VAL(3),
107
                .C_PROG_EMPTY_TYPE(1),
108
                .C_PROG_FULL_THRESH_ASSERT_VAL(12),
109
                .C_PROG_FULL_THRESH_NEGATE_VAL(11),
110
                .C_PROG_FULL_TYPE(1),
111
                .C_RD_DATA_COUNT_WIDTH(4),
112
                .C_RD_DEPTH(16),
113
                .C_RD_FREQ(1),
114
                .C_RD_PNTR_WIDTH(4),
115
                .C_UNDERFLOW_LOW(0),
116
                .C_USE_DOUT_RST(1),
117
                .C_USE_ECC(0),
118
                .C_USE_EMBEDDED_REG(0),
119
                .C_USE_FIFO16_FLAGS(0),
120
                .C_USE_FWFT_DATA_COUNT(0),
121
                .C_VALID_LOW(0),
122
                .C_WR_ACK_LOW(0),
123
                .C_WR_DATA_COUNT_WIDTH(4),
124
                .C_WR_DEPTH(16),
125
                .C_WR_FREQ(1),
126
                .C_WR_PNTR_WIDTH(4),
127
                .C_WR_RESPONSE_LATENCY(1))
128
        inst (
129
                .CLK(clk),
130
                .RST(rst),
131
                .DIN(din),
132
                .WR_EN(wr_en),
133
                .RD_EN(rd_en),
134
                .DOUT(dout),
135
                .FULL(full),
136
                .EMPTY(empty),
137
                .PROG_FULL(prog_full),
138
                .PROG_EMPTY(prog_empty),
139
                .BACKUP(),
140
                .BACKUP_MARKER(),
141
                .SRST(),
142
                .WR_CLK(),
143
                .WR_RST(),
144
                .RD_CLK(),
145
                .RD_RST(),
146
                .PROG_EMPTY_THRESH(),
147
                .PROG_EMPTY_THRESH_ASSERT(),
148
                .PROG_EMPTY_THRESH_NEGATE(),
149
                .PROG_FULL_THRESH(),
150
                .PROG_FULL_THRESH_ASSERT(),
151
                .PROG_FULL_THRESH_NEGATE(),
152
                .INT_CLK(),
153
                .INJECTDBITERR(),
154
                .INJECTSBITERR(),
155
                .ALMOST_FULL(),
156
                .WR_ACK(),
157
                .OVERFLOW(),
158
                .ALMOST_EMPTY(),
159
                .VALID(),
160
                .UNDERFLOW(),
161
                .DATA_COUNT(),
162
                .RD_DATA_COUNT(),
163
                .WR_DATA_COUNT(),
164
                .SBITERR(),
165
                .DBITERR());
166
 
167
 
168
// synthesis translate_on
169
 
170
// XST black box declaration
171
// box_type "black_box"
172
// synthesis attribute box_type of v6_sfifo_15x128 is "black_box"
173
 
174
endmodule
175
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.