OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.1/] [v6_sfifo_15x128.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file v6_sfifo_15x128.vhd when simulating
30
-- the core, v6_sfifo_15x128. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY v6_sfifo_15x128 IS
44
        port (
45
        clk: IN std_logic;
46
        rst: IN std_logic;
47
        din: IN std_logic_VECTOR(127 downto 0);
48
        wr_en: IN std_logic;
49
        rd_en: IN std_logic;
50
        dout: OUT std_logic_VECTOR(127 downto 0);
51
        full: OUT std_logic;
52
        empty: OUT std_logic;
53
        prog_full: OUT std_logic;
54
        prog_empty: OUT std_logic);
55
END v6_sfifo_15x128;
56
 
57
ARCHITECTURE v6_sfifo_15x128_a OF v6_sfifo_15x128 IS
58
-- synthesis translate_off
59
component wrapped_v6_sfifo_15x128
60
        port (
61
        clk: IN std_logic;
62
        rst: IN std_logic;
63
        din: IN std_logic_VECTOR(127 downto 0);
64
        wr_en: IN std_logic;
65
        rd_en: IN std_logic;
66
        dout: OUT std_logic_VECTOR(127 downto 0);
67
        full: OUT std_logic;
68
        empty: OUT std_logic;
69
        prog_full: OUT std_logic;
70
        prog_empty: OUT std_logic);
71
end component;
72
 
73
-- Configuration specification 
74
        for all : wrapped_v6_sfifo_15x128 use entity XilinxCoreLib.fifo_generator_v5_3(behavioral)
75
                generic map(
76
                        c_has_int_clk => 0,
77
                        c_wr_response_latency => 1,
78
                        c_rd_freq => 1,
79
                        c_has_srst => 0,
80
                        c_enable_rst_sync => 1,
81
                        c_has_rd_data_count => 0,
82
                        c_din_width => 128,
83
                        c_has_wr_data_count => 0,
84
                        c_full_flags_rst_val => 1,
85
                        c_implementation_type => 1,
86
                        c_family => "virtex6",
87
                        c_use_embedded_reg => 0,
88
                        c_has_wr_rst => 0,
89
                        c_wr_freq => 1,
90
                        c_use_dout_rst => 1,
91
                        c_underflow_low => 0,
92
                        c_has_meminit_file => 0,
93
                        c_has_overflow => 0,
94
                        c_preload_latency => 1,
95
                        c_dout_width => 128,
96
                        c_msgon_val => 1,
97
                        c_rd_depth => 16,
98
                        c_default_value => "BlankString",
99
                        c_mif_file_name => "BlankString",
100
                        c_error_injection_type => 0,
101
                        c_has_underflow => 0,
102
                        c_has_rd_rst => 0,
103
                        c_has_almost_full => 0,
104
                        c_has_rst => 1,
105
                        c_data_count_width => 4,
106
                        c_has_wr_ack => 0,
107
                        c_use_ecc => 0,
108
                        c_wr_ack_low => 0,
109
                        c_common_clock => 1,
110
                        c_rd_pntr_width => 4,
111
                        c_use_fwft_data_count => 0,
112
                        c_has_almost_empty => 0,
113
                        c_rd_data_count_width => 4,
114
                        c_enable_rlocs => 0,
115
                        c_wr_pntr_width => 4,
116
                        c_overflow_low => 0,
117
                        c_prog_empty_type => 1,
118
                        c_optimization_mode => 0,
119
                        c_wr_data_count_width => 4,
120
                        c_preload_regs => 0,
121
                        c_dout_rst_val => "0",
122
                        c_has_data_count => 0,
123
                        c_prog_full_thresh_negate_val => 11,
124
                        c_wr_depth => 16,
125
                        c_prog_empty_thresh_negate_val => 3,
126
                        c_prog_empty_thresh_assert_val => 2,
127
                        c_has_valid => 0,
128
                        c_init_wr_pntr_val => 0,
129
                        c_prog_full_thresh_assert_val => 12,
130
                        c_use_fifo16_flags => 0,
131
                        c_has_backup => 0,
132
                        c_valid_low => 0,
133
                        c_prim_fifo_type => "512x72",
134
                        c_count_type => 0,
135
                        c_prog_full_type => 1,
136
                        c_memory_type => 3);
137
-- synthesis translate_on
138
BEGIN
139
-- synthesis translate_off
140
U0 : wrapped_v6_sfifo_15x128
141
                port map (
142
                        clk => clk,
143
                        rst => rst,
144
                        din => din,
145
                        wr_en => wr_en,
146
                        rd_en => rd_en,
147
                        dout => dout,
148
                        full => full,
149
                        empty => empty,
150
                        prog_full => prog_full,
151
                        prog_empty => prog_empty);
152
-- synthesis translate_on
153
 
154
END v6_sfifo_15x128_a;
155
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.