OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.3/] [v6_eb_fifo_counted_new.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file v6_eb_fifo_counted_new.vhd when simulating
30
-- the core, v6_eb_fifo_counted_new. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY v6_eb_fifo_counted_new IS
44
        port (
45
        rst: IN std_logic;
46
        wr_clk: IN std_logic;
47
        rd_clk: IN std_logic;
48
        din: IN std_logic_VECTOR(71 downto 0);
49
        wr_en: IN std_logic;
50
        rd_en: IN std_logic;
51
        dout: OUT std_logic_VECTOR(71 downto 0);
52
        full: OUT std_logic;
53
        empty: OUT std_logic;
54
        valid: OUT std_logic;
55
        rd_data_count: OUT std_logic_VECTOR(14 downto 0);
56
        wr_data_count: OUT std_logic_VECTOR(14 downto 0);
57
        prog_full: OUT std_logic;
58
        prog_empty: OUT std_logic);
59
END v6_eb_fifo_counted_new;
60
 
61
ARCHITECTURE v6_eb_fifo_counted_new_a OF v6_eb_fifo_counted_new IS
62
-- synthesis translate_off
63
component wrapped_v6_eb_fifo_counted_new
64
        port (
65
        rst: IN std_logic;
66
        wr_clk: IN std_logic;
67
        rd_clk: IN std_logic;
68
        din: IN std_logic_VECTOR(71 downto 0);
69
        wr_en: IN std_logic;
70
        rd_en: IN std_logic;
71
        dout: OUT std_logic_VECTOR(71 downto 0);
72
        full: OUT std_logic;
73
        empty: OUT std_logic;
74
        valid: OUT std_logic;
75
        rd_data_count: OUT std_logic_VECTOR(14 downto 0);
76
        wr_data_count: OUT std_logic_VECTOR(14 downto 0);
77
        prog_full: OUT std_logic;
78
        prog_empty: OUT std_logic);
79
end component;
80
 
81
-- Configuration specification 
82
        for all : wrapped_v6_eb_fifo_counted_new use entity XilinxCoreLib.fifo_generator_v7_2(behavioral)
83
                generic map(
84
                        c_wach_type => 0,
85
                        c_has_data_counts_wrch => 0,
86
                        c_has_almost_empty => 0,
87
                        c_has_valid => 1,
88
                        c_implementation_type_rach => 1,
89
                        c_axi_buser_width => 1,
90
                        c_has_data_counts_rdch => 0,
91
                        c_axi_aruser_width => 1,
92
                        c_prog_empty_type_wrch => 5,
93
                        c_has_overflow => 0,
94
                        c_full_flags_rst_val => 1,
95
                        c_axi_id_width => 4,
96
                        c_has_almost_full => 0,
97
                        c_error_injection_type_wrch => 0,
98
                        c_wrch_type => 0,
99
                        c_prog_empty_type_rdch => 5,
100
                        c_has_backup => 0,
101
                        c_has_rd_rst => 0,
102
                        c_implementation_type => 2,
103
                        c_has_axi_buser => 0,
104
                        c_application_type_wrch => 0,
105
                        c_implementation_type_wach => 1,
106
                        c_implementation_type_axis => 1,
107
                        c_use_ecc_wrch => 0,
108
                        c_error_injection_type_rdch => 0,
109
                        c_has_data_counts_wdch => 0,
110
                        c_reg_slice_mode_rach => 0,
111
                        c_application_type_rdch => 0,
112
                        c_use_ecc_rdch => 0,
113
                        c_prog_empty_type_wdch => 5,
114
                        c_prog_full_type_wrch => 5,
115
                        c_has_axi_wuser => 0,
116
                        c_error_injection_type_wdch => 0,
117
                        c_memory_type => 1,
118
                        c_has_master_ce => 0,
119
                        c_reg_slice_mode_wach => 0,
120
                        c_prog_full_thresh_assert_val_wrch => 1023,
121
                        c_prog_full_type_rdch => 5,
122
                        c_reg_slice_mode_axis => 0,
123
                        c_prog_empty_thresh_assert_val_wrch => 1022,
124
                        c_din_width_wrch => 2,
125
                        c_rdch_type => 0,
126
                        c_prim_fifo_type => "4kx9",
127
                        c_use_ecc => 0,
128
                        c_application_type_wdch => 0,
129
                        c_axi_ruser_width => 1,
130
                        c_use_ecc_wdch => 0,
131
                        c_rd_depth => 32768,
132
                        c_has_underflow => 0,
133
                        c_prog_full_thresh_assert_val_rdch => 1023,
134
                        c_has_prog_flags_wrch => 0,
135
                        c_prog_empty_thresh_assert_val_rdch => 1022,
136
                        c_has_axis_tkeep => 0,
137
                        c_din_width_rdch => 64,
138
                        c_rd_pntr_width => 15,
139
                        c_prog_full_type_wdch => 5,
140
                        c_has_prog_flags_rdch => 0,
141
                        c_wr_freq => 1,
142
                        c_has_axis_tuser => 0,
143
                        c_use_common_overflow => 0,
144
                        c_wr_depth_wrch => 16,
145
                        c_mif_file_name => "BlankString",
146
                        c_prog_full_thresh_assert_val_wdch => 1023,
147
                        c_wr_data_count_width => 15,
148
                        c_axi_addr_width => 32,
149
                        c_has_axis_tstrb => 0,
150
                        c_prog_empty_thresh_assert_val_wdch => 1022,
151
                        c_wr_pntr_width_rach => 4,
152
                        c_din_width_wdch => 64,
153
                        c_wr_depth_rdch => 1024,
154
                        c_error_injection_type => 0,
155
                        c_dout_width => 72,
156
                        c_wr_pntr_width => 15,
157
                        c_rach_type => 0,
158
                        c_has_axis_tlast => 0,
159
                        c_has_prog_flags_wdch => 0,
160
                        c_axis_tdest_width => 4,
161
                        c_overflow_low => 0,
162
                        c_axi_awuser_width => 1,
163
                        c_axis_type => 0,
164
                        c_use_fifo16_flags => 0,
165
                        c_has_wr_ack => 0,
166
                        c_prog_empty_thresh_negate_val => 4097,
167
                        c_dout_rst_val => "0",
168
                        c_wr_pntr_width_wach => 4,
169
                        c_wr_depth_wdch => 1024,
170
                        c_axis_tuser_width => 4,
171
                        c_wr_pntr_width_axis => 10,
172
                        c_prog_empty_type => 1,
173
                        c_has_wr_rst => 0,
174
                        c_has_axis_tid => 0,
175
                        c_valid_low => 0,
176
                        c_implementation_type_wrch => 1,
177
                        c_use_default_settings => 0,
178
                        c_has_axi_awuser => 0,
179
                        c_implementation_type_rdch => 1,
180
                        c_enable_rst_sync => 1,
181
                        c_wr_depth => 32768,
182
                        c_prog_empty_thresh_assert_val => 4096,
183
                        c_reg_slice_mode_wrch => 0,
184
                        c_prog_full_thresh_negate_val => 28670,
185
                        c_has_data_counts_rach => 0,
186
                        c_wr_ack_low => 0,
187
                        c_implementation_type_wdch => 1,
188
                        c_prog_full_thresh_assert_val => 28671,
189
                        c_has_axi_ruser => 0,
190
                        c_preload_latency => 1,
191
                        c_reg_slice_mode_rdch => 0,
192
                        c_wr_response_latency => 1,
193
                        c_axi_wuser_width => 1,
194
                        c_has_axis_tdest => 0,
195
                        c_family => "virtex6",
196
                        c_has_axis_tdata => 0,
197
                        c_has_data_count => 0,
198
                        c_prog_empty_type_rach => 5,
199
                        c_init_wr_pntr_val => 0,
200
                        c_error_injection_type_rach => 0,
201
                        c_has_data_counts_wach => 0,
202
                        c_has_data_counts_axis => 0,
203
                        c_has_rd_data_count => 1,
204
                        c_data_count_width => 15,
205
                        c_count_type => 0,
206
                        c_has_axi_rd_channel => 0,
207
                        c_application_type_rach => 0,
208
                        c_reg_slice_mode_wdch => 0,
209
                        c_use_ecc_rach => 0,
210
                        c_default_value => "BlankString",
211
                        c_prog_empty_type_wach => 5,
212
                        c_enable_rlocs => 0,
213
                        c_prog_empty_type_axis => 5,
214
                        c_rd_data_count_width => 15,
215
                        c_interface_type => 0,
216
                        c_has_axi_wr_channel => 0,
217
                        c_axi_type => 0,
218
                        c_error_injection_type_wach => 0,
219
                        c_error_injection_type_axis => 0,
220
                        c_prog_full_type_rach => 5,
221
                        c_has_slave_ce => 0,
222
                        c_has_wr_data_count => 1,
223
                        c_axis_tid_width => 8,
224
                        c_use_dout_rst => 1,
225
                        c_application_type_wach => 0,
226
                        c_axis_tdata_width => 64,
227
                        c_use_ecc_wach => 0,
228
                        c_application_type_axis => 0,
229
                        c_msgon_val => 1,
230
                        c_preload_regs => 0,
231
                        c_use_ecc_axis => 0,
232
                        c_wr_pntr_width_wrch => 4,
233
                        c_prog_full_thresh_assert_val_rach => 1023,
234
                        c_common_clock => 0,
235
                        c_rd_freq => 1,
236
                        c_use_embedded_reg => 0,
237
                        c_prog_empty_thresh_assert_val_rach => 1022,
238
                        c_din_width_rach => 32,
239
                        c_has_meminit_file => 0,
240
                        c_add_ngc_constraint => 0,
241
                        c_prog_full_type => 1,
242
                        c_optimization_mode => 0,
243
                        c_wr_pntr_width_rdch => 10,
244
                        c_prog_full_type_wach => 5,
245
                        c_has_prog_flags_rach => 0,
246
                        c_prog_full_type_axis => 5,
247
                        c_din_width => 72,
248
                        c_has_axis_tready => 1,
249
                        c_use_common_underflow => 0,
250
                        c_axis_tstrb_width => 4,
251
                        c_prog_full_thresh_assert_val_wach => 1023,
252
                        c_prog_full_thresh_assert_val_axis => 1023,
253
                        c_prog_empty_thresh_assert_val_wach => 1022,
254
                        c_din_width_wach => 32,
255
                        c_wr_depth_rach => 16,
256
                        c_axi_data_width => 64,
257
                        c_prog_empty_thresh_assert_val_axis => 1022,
258
                        c_din_width_axis => 1,
259
                        c_has_axi_aruser => 0,
260
                        c_use_fwft_data_count => 0,
261
                        c_wr_pntr_width_wdch => 10,
262
                        c_has_prog_flags_wach => 0,
263
                        c_axis_tkeep_width => 4,
264
                        c_has_prog_flags_axis => 0,
265
                        c_wdch_type => 0,
266
                        c_underflow_low => 0,
267
                        c_has_srst => 0,
268
                        c_has_rst => 1,
269
                        c_has_int_clk => 0,
270
                        c_wr_depth_wach => 16,
271
                        c_wr_depth_axis => 1024);
272
-- synthesis translate_on
273
BEGIN
274
-- synthesis translate_off
275
U0 : wrapped_v6_eb_fifo_counted_new
276
                port map (
277
                        rst => rst,
278
                        wr_clk => wr_clk,
279
                        rd_clk => rd_clk,
280
                        din => din,
281
                        wr_en => wr_en,
282
                        rd_en => rd_en,
283
                        dout => dout,
284
                        full => full,
285
                        empty => empty,
286
                        valid => valid,
287
                        rd_data_count => rd_data_count,
288
                        wr_data_count => wr_data_count,
289
                        prog_full => prog_full,
290
                        prog_empty => prog_empty);
291
-- synthesis translate_on
292
 
293
END v6_eb_fifo_counted_new_a;
294
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.