OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.3/] [v6_mBuf_128x72.vhd] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file v6_mBuf_128x72.vhd when simulating
30
-- the core, v6_mBuf_128x72. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY v6_mBuf_128x72 IS
44
        port (
45
        clk: IN std_logic;
46
        rst: IN std_logic;
47
        din: IN std_logic_VECTOR(71 downto 0);
48
        wr_en: IN std_logic;
49
        rd_en: IN std_logic;
50
        dout: OUT std_logic_VECTOR(71 downto 0);
51
        full: OUT std_logic;
52
        empty: OUT std_logic;
53
        prog_full: OUT std_logic);
54
END v6_mBuf_128x72;
55
 
56
ARCHITECTURE v6_mBuf_128x72_a OF v6_mBuf_128x72 IS
57
-- synthesis translate_off
58
component wrapped_v6_mBuf_128x72
59
        port (
60
        clk: IN std_logic;
61
        rst: IN std_logic;
62
        din: IN std_logic_VECTOR(71 downto 0);
63
        wr_en: IN std_logic;
64
        rd_en: IN std_logic;
65
        dout: OUT std_logic_VECTOR(71 downto 0);
66
        full: OUT std_logic;
67
        empty: OUT std_logic;
68
        prog_full: OUT std_logic);
69
end component;
70
 
71
-- Configuration specification 
72
        for all : wrapped_v6_mBuf_128x72 use entity XilinxCoreLib.fifo_generator_v7_2(behavioral)
73
                generic map(
74
                        c_wach_type => 0,
75
                        c_has_data_counts_wrch => 0,
76
                        c_has_almost_empty => 0,
77
                        c_has_valid => 0,
78
                        c_implementation_type_rach => 1,
79
                        c_axi_buser_width => 1,
80
                        c_has_data_counts_rdch => 0,
81
                        c_axi_aruser_width => 1,
82
                        c_prog_empty_type_wrch => 5,
83
                        c_has_overflow => 0,
84
                        c_full_flags_rst_val => 0,
85
                        c_axi_id_width => 4,
86
                        c_has_almost_full => 0,
87
                        c_error_injection_type_wrch => 0,
88
                        c_wrch_type => 0,
89
                        c_prog_empty_type_rdch => 5,
90
                        c_has_backup => 0,
91
                        c_has_rd_rst => 0,
92
                        c_implementation_type => 5,
93
                        c_has_axi_buser => 0,
94
                        c_application_type_wrch => 0,
95
                        c_implementation_type_wach => 1,
96
                        c_implementation_type_axis => 1,
97
                        c_use_ecc_wrch => 0,
98
                        c_error_injection_type_rdch => 0,
99
                        c_has_data_counts_wdch => 0,
100
                        c_reg_slice_mode_rach => 0,
101
                        c_application_type_rdch => 0,
102
                        c_use_ecc_rdch => 0,
103
                        c_prog_empty_type_wdch => 5,
104
                        c_prog_full_type_wrch => 5,
105
                        c_has_axi_wuser => 0,
106
                        c_error_injection_type_wdch => 0,
107
                        c_memory_type => 4,
108
                        c_has_master_ce => 0,
109
                        c_reg_slice_mode_wach => 0,
110
                        c_prog_full_thresh_assert_val_wrch => 1023,
111
                        c_prog_full_type_rdch => 5,
112
                        c_reg_slice_mode_axis => 0,
113
                        c_prog_empty_thresh_assert_val_wrch => 1022,
114
                        c_din_width_wrch => 2,
115
                        c_rdch_type => 0,
116
                        c_prim_fifo_type => "512x72",
117
                        c_use_ecc => 0,
118
                        c_application_type_wdch => 0,
119
                        c_axi_ruser_width => 1,
120
                        c_use_ecc_wdch => 0,
121
                        c_rd_depth => 512,
122
                        c_has_underflow => 0,
123
                        c_prog_full_thresh_assert_val_rdch => 1023,
124
                        c_has_prog_flags_wrch => 0,
125
                        c_prog_empty_thresh_assert_val_rdch => 1022,
126
                        c_has_axis_tkeep => 0,
127
                        c_din_width_rdch => 64,
128
                        c_rd_pntr_width => 9,
129
                        c_prog_full_type_wdch => 5,
130
                        c_has_prog_flags_rdch => 0,
131
                        c_wr_freq => 1,
132
                        c_has_axis_tuser => 0,
133
                        c_use_common_overflow => 0,
134
                        c_wr_depth_wrch => 16,
135
                        c_mif_file_name => "BlankString",
136
                        c_prog_full_thresh_assert_val_wdch => 1023,
137
                        c_wr_data_count_width => 9,
138
                        c_axi_addr_width => 32,
139
                        c_has_axis_tstrb => 0,
140
                        c_prog_empty_thresh_assert_val_wdch => 1022,
141
                        c_wr_pntr_width_rach => 4,
142
                        c_din_width_wdch => 64,
143
                        c_wr_depth_rdch => 1024,
144
                        c_error_injection_type => 0,
145
                        c_dout_width => 72,
146
                        c_wr_pntr_width => 9,
147
                        c_rach_type => 0,
148
                        c_has_axis_tlast => 0,
149
                        c_has_prog_flags_wdch => 0,
150
                        c_axis_tdest_width => 4,
151
                        c_overflow_low => 0,
152
                        c_axi_awuser_width => 1,
153
                        c_axis_type => 0,
154
                        c_use_fifo16_flags => 0,
155
                        c_has_wr_ack => 0,
156
                        c_prog_empty_thresh_negate_val => 3,
157
                        c_dout_rst_val => "0",
158
                        c_wr_pntr_width_wach => 4,
159
                        c_wr_depth_wdch => 1024,
160
                        c_axis_tuser_width => 4,
161
                        c_wr_pntr_width_axis => 10,
162
                        c_prog_empty_type => 0,
163
                        c_has_wr_rst => 0,
164
                        c_has_axis_tid => 0,
165
                        c_valid_low => 0,
166
                        c_implementation_type_wrch => 1,
167
                        c_use_default_settings => 0,
168
                        c_has_axi_awuser => 0,
169
                        c_implementation_type_rdch => 1,
170
                        c_enable_rst_sync => 1,
171
                        c_wr_depth => 512,
172
                        c_prog_empty_thresh_assert_val => 2,
173
                        c_reg_slice_mode_wrch => 0,
174
                        c_prog_full_thresh_negate_val => 127,
175
                        c_has_data_counts_rach => 0,
176
                        c_wr_ack_low => 0,
177
                        c_implementation_type_wdch => 1,
178
                        c_prog_full_thresh_assert_val => 128,
179
                        c_has_axi_ruser => 0,
180
                        c_preload_latency => 1,
181
                        c_reg_slice_mode_rdch => 0,
182
                        c_wr_response_latency => 1,
183
                        c_axi_wuser_width => 1,
184
                        c_has_axis_tdest => 0,
185
                        c_family => "virtex6",
186
                        c_has_axis_tdata => 0,
187
                        c_has_data_count => 0,
188
                        c_prog_empty_type_rach => 5,
189
                        c_init_wr_pntr_val => 0,
190
                        c_error_injection_type_rach => 0,
191
                        c_has_data_counts_wach => 0,
192
                        c_has_data_counts_axis => 0,
193
                        c_has_rd_data_count => 0,
194
                        c_data_count_width => 9,
195
                        c_count_type => 0,
196
                        c_has_axi_rd_channel => 0,
197
                        c_application_type_rach => 0,
198
                        c_reg_slice_mode_wdch => 0,
199
                        c_use_ecc_rach => 0,
200
                        c_default_value => "BlankString",
201
                        c_prog_empty_type_wach => 5,
202
                        c_enable_rlocs => 0,
203
                        c_prog_empty_type_axis => 5,
204
                        c_rd_data_count_width => 9,
205
                        c_interface_type => 0,
206
                        c_has_axi_wr_channel => 0,
207
                        c_axi_type => 0,
208
                        c_error_injection_type_wach => 0,
209
                        c_error_injection_type_axis => 0,
210
                        c_prog_full_type_rach => 5,
211
                        c_has_slave_ce => 0,
212
                        c_has_wr_data_count => 0,
213
                        c_axis_tid_width => 8,
214
                        c_use_dout_rst => 0,
215
                        c_application_type_wach => 0,
216
                        c_axis_tdata_width => 64,
217
                        c_use_ecc_wach => 0,
218
                        c_application_type_axis => 0,
219
                        c_msgon_val => 1,
220
                        c_preload_regs => 0,
221
                        c_use_ecc_axis => 0,
222
                        c_wr_pntr_width_wrch => 4,
223
                        c_prog_full_thresh_assert_val_rach => 1023,
224
                        c_common_clock => 1,
225
                        c_rd_freq => 1,
226
                        c_use_embedded_reg => 0,
227
                        c_prog_empty_thresh_assert_val_rach => 1022,
228
                        c_din_width_rach => 32,
229
                        c_has_meminit_file => 0,
230
                        c_add_ngc_constraint => 0,
231
                        c_prog_full_type => 1,
232
                        c_optimization_mode => 0,
233
                        c_wr_pntr_width_rdch => 10,
234
                        c_prog_full_type_wach => 5,
235
                        c_has_prog_flags_rach => 0,
236
                        c_prog_full_type_axis => 5,
237
                        c_din_width => 72,
238
                        c_has_axis_tready => 1,
239
                        c_use_common_underflow => 0,
240
                        c_axis_tstrb_width => 4,
241
                        c_prog_full_thresh_assert_val_wach => 1023,
242
                        c_prog_full_thresh_assert_val_axis => 1023,
243
                        c_prog_empty_thresh_assert_val_wach => 1022,
244
                        c_din_width_wach => 32,
245
                        c_wr_depth_rach => 16,
246
                        c_axi_data_width => 64,
247
                        c_prog_empty_thresh_assert_val_axis => 1022,
248
                        c_din_width_axis => 1,
249
                        c_has_axi_aruser => 0,
250
                        c_use_fwft_data_count => 0,
251
                        c_wr_pntr_width_wdch => 10,
252
                        c_has_prog_flags_wach => 0,
253
                        c_axis_tkeep_width => 4,
254
                        c_has_prog_flags_axis => 0,
255
                        c_wdch_type => 0,
256
                        c_underflow_low => 0,
257
                        c_has_srst => 0,
258
                        c_has_rst => 1,
259
                        c_has_int_clk => 0,
260
                        c_wr_depth_wach => 16,
261
                        c_wr_depth_axis => 1024);
262
-- synthesis translate_on
263
BEGIN
264
-- synthesis translate_off
265
U0 : wrapped_v6_mBuf_128x72
266
                port map (
267
                        clk => clk,
268
                        rst => rst,
269
                        din => din,
270
                        wr_en => wr_en,
271
                        rd_en => rd_en,
272
                        dout => dout,
273
                        full => full,
274
                        empty => empty,
275
                        prog_full => prog_full);
276
-- synthesis translate_on
277
 
278
END v6_mBuf_128x72_a;
279
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.