OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.3/] [v6_pcie_v1_6_x1/] [example_design/] [xilinx_pcie_2_0_ep_v6_01_lane_gen2_xc6vlx240t-ff1156-1_ML605.ucf] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
##-----------------------------------------------------------------------------
2
##
3
## (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
4
##
5
## This file contains confidential and proprietary information
6
## of Xilinx, Inc. and is protected under U.S. and
7
## international copyright and other intellectual property
8
## laws.
9
##
10
## DISCLAIMER
11
## This disclaimer is not a license and does not grant any
12
## rights to the materials distributed herewith. Except as
13
## otherwise provided in a valid license issued to you by
14
## Xilinx, and to the maximum extent permitted by applicable
15
## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
## (2) Xilinx shall not be liable (whether in contract or tort,
21
## including negligence, or under any other theory of
22
## liability) for any loss or damage of any kind or nature
23
## related to, arising under or in connection with these
24
## materials, including for any direct, or any indirect,
25
## special, incidental, or consequential loss or damage
26
## (including loss of data, profits, goodwill, or any type of
27
## loss or damage suffered as a result of any action brought
28
## by a third party) even if such damage or loss was
29
## reasonably foreseeable or Xilinx had been advised of the
30
## possibility of the same.
31
##
32
## CRITICAL APPLICATIONS
33
## Xilinx products are not designed or intended to be fail-
34
## safe, or for use in any application requiring fail-safe
35
## performance, such as life-support or safety devices or
36
## systems, Class III medical devices, nuclear facilities,
37
## applications related to the deployment of airbags, or any
38
## other applications that could lead to death, personal
39
## injury, or severe property or environmental damage
40
## (individually and collectively, "Critical
41
## Applications"). Customer assumes the sole risk and
42
## liability of any use of Xilinx products in Critical
43
## Applications, subject only to applicable laws and
44
## regulations governing limitations on product liability.
45
##
46
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
## PART OF THIS FILE AT ALL TIMES.
48
##
49
##-----------------------------------------------------------------------------
50
## Project    : Virtex-6 Integrated Block for PCI Express
51
## File       : xilinx_pcie_2_0_ep_v6_01_lane_gen2_xc6vlx240t-ff1156-1_ML605.ucf
52
## Version    : 1.6
53
#
54
###############################################################################
55
# Define Device, Package And Speed Grade
56
###############################################################################
57
 
58
CONFIG PART = xc6vlx240t-ff1156-1;
59
 
60
###############################################################################
61
# User Time Names / User Time Groups / Time Specs
62
###############################################################################
63
 
64
###############################################################################
65
# User Physical Constraints
66
###############################################################################
67
 
68
 
69
###############################################################################
70
# Pinout and Related I/O Constraints
71
###############################################################################
72
 
73
#
74
# SYS reset (input) signal.  The sys_reset_n signal should be
75
# obtained from the PCI Express interface if possible.  For
76
# slot based form factors, a system reset signal is usually
77
# present on the connector.  For cable based form factors, a
78
# system reset signal may not be available.  In this case, the
79
# system reset signal must be generated locally by some form of
80
# supervisory circuit.  You may change the IOSTANDARD and LOC
81
# to suit your requirements and VCCO voltage banking rules.
82
#
83
 
84
NET "sys_reset_n" TIG;
85
NET "sys_reset_n" LOC = AE13 | IOSTANDARD = LVCMOS25 | PULLUP | NODELAY ;
86
 
87
#
88
#
89
# SYS clock 100 MHz (input) signal. The sys_clk_p and sys_clk_n
90
# signals are the PCI Express reference clock. Virtex-6 GT
91
# Transceiver architecture requires the use of a dedicated clock
92
# resources (FPGA input pins) associated with each GT Transceiver.
93
# To use these pins an IBUFDS primitive (refclk_ibuf) is
94
# instantiated in user's design.
95
# Please refer to the Virtex-6 GT Transceiver User Guide
96
# (UG) for guidelines regarding clock resource selection.
97
#
98
 
99
#NET "sys_clk_p" LOC = P6;
100
#NET "sys_clk_n" LOC = P5;
101
INST "refclk_ibuf" LOC = IBUFDS_GTXE1_X0Y6;
102
 
103
#
104
# Transceiver instance placement.  This constraint selects the
105
# transceivers to be used, which also dictates the pinout for the
106
# transmit and receive differential pairs.  Please refer to the
107
# Virtex-6 GT Transceiver User Guide (UG) for more information.
108
#
109
 
110
# PCIe Lane 0
111
INST "core*/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[0].GTX" LOC = GTXE1_X0Y15;
112
 
113
#
114
# PCI Express Block placement. This constraint selects the PCI Express
115
# Block to be used.
116
#
117
 
118
INST "core*/pcie_2_0_i/pcie_block_i" LOC = PCIE_X0Y1;
119
 
120
#NET  "led_0"           LOC = "AC22"   ;
121
#NET  "led_1"           LOC = "AC24"   ;
122
#NET  "led_2"           LOC = "AE22"  ;
123
 
124
###############################################################################
125
# Timing Constraints
126
###############################################################################
127
 
128
#
129
# Timing requirements and related constraints.
130
#
131
 
132
NET "sys_clk_c" TNM_NET = "SYSCLK" ;
133
NET "core*/pcie_clocking_i/clk_125" TNM_NET = "CLK_125" ;
134
NET "core*/pcie_clocking_i/clk_250" TNM_NET = "CLK_250" ;
135
 
136
TIMESPEC "TS_SYSCLK"  = PERIOD "SYSCLK" 100 MHz HIGH 50 % ;
137
TIMESPEC "TS_CLK_125"  = PERIOD "CLK_125" TS_SYSCLK*1.25 HIGH 50 % PRIORITY 100 ;
138
TIMESPEC "TS_CLK_250" = PERIOD "CLK_250" TS_SYSCLK*2.5 HIGH 50 % PRIORITY 1;
139
 
140
 
141
NET "core*/pcie_clocking_i/sel_lnk_rate_d" TIG ;
142
PIN "core*/trn_reset_n_int_i.CLR" TIG ;
143
PIN "core*/trn_reset_n_i.CLR" TIG ;
144
PIN "core*/pcie_clocking_i/mmcm_adv_i.RST" TIG ;
145
 
146
 
147
 
148
###############################################################################
149
# Physical Constraints
150
###############################################################################
151
 
152
###############################################################################
153
# End
154
###############################################################################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.