OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE12.3/] [v6_prime_fifo_plain.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file v6_prime_fifo_plain.v when simulating
34
// the core, v6_prime_fifo_plain. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module v6_prime_fifo_plain(
41
        rst,
42
        wr_clk,
43
        rd_clk,
44
        din,
45
        wr_en,
46
        rd_en,
47
        dout,
48
        full,
49
        empty,
50
        prog_full);
51
 
52
 
53
input rst;
54
input wr_clk;
55
input rd_clk;
56
input [71 : 0] din;
57
input wr_en;
58
input rd_en;
59
output [71 : 0] dout;
60
output full;
61
output empty;
62
output prog_full;
63
 
64
// synthesis translate_off
65
 
66
      FIFO_GENERATOR_V7_2 #(
67
                .C_ADD_NGC_CONSTRAINT(0),
68
                .C_APPLICATION_TYPE_AXIS(0),
69
                .C_APPLICATION_TYPE_RACH(0),
70
                .C_APPLICATION_TYPE_RDCH(0),
71
                .C_APPLICATION_TYPE_WACH(0),
72
                .C_APPLICATION_TYPE_WDCH(0),
73
                .C_APPLICATION_TYPE_WRCH(0),
74
                .C_AXIS_TDATA_WIDTH(64),
75
                .C_AXIS_TDEST_WIDTH(4),
76
                .C_AXIS_TID_WIDTH(8),
77
                .C_AXIS_TKEEP_WIDTH(4),
78
                .C_AXIS_TSTRB_WIDTH(4),
79
                .C_AXIS_TUSER_WIDTH(4),
80
                .C_AXIS_TYPE(0),
81
                .C_AXI_ADDR_WIDTH(32),
82
                .C_AXI_ARUSER_WIDTH(1),
83
                .C_AXI_AWUSER_WIDTH(1),
84
                .C_AXI_BUSER_WIDTH(1),
85
                .C_AXI_DATA_WIDTH(64),
86
                .C_AXI_ID_WIDTH(4),
87
                .C_AXI_RUSER_WIDTH(1),
88
                .C_AXI_TYPE(0),
89
                .C_AXI_WUSER_WIDTH(1),
90
                .C_COMMON_CLOCK(0),
91
                .C_COUNT_TYPE(0),
92
                .C_DATA_COUNT_WIDTH(9),
93
                .C_DEFAULT_VALUE("BlankString"),
94
                .C_DIN_WIDTH(72),
95
                .C_DIN_WIDTH_AXIS(1),
96
                .C_DIN_WIDTH_RACH(32),
97
                .C_DIN_WIDTH_RDCH(64),
98
                .C_DIN_WIDTH_WACH(32),
99
                .C_DIN_WIDTH_WDCH(64),
100
                .C_DIN_WIDTH_WRCH(2),
101
                .C_DOUT_RST_VAL("0"),
102
                .C_DOUT_WIDTH(72),
103
                .C_ENABLE_RLOCS(0),
104
                .C_ENABLE_RST_SYNC(1),
105
                .C_ERROR_INJECTION_TYPE(0),
106
                .C_ERROR_INJECTION_TYPE_AXIS(0),
107
                .C_ERROR_INJECTION_TYPE_RACH(0),
108
                .C_ERROR_INJECTION_TYPE_RDCH(0),
109
                .C_ERROR_INJECTION_TYPE_WACH(0),
110
                .C_ERROR_INJECTION_TYPE_WDCH(0),
111
                .C_ERROR_INJECTION_TYPE_WRCH(0),
112
                .C_FAMILY("virtex6"),
113
                .C_FULL_FLAGS_RST_VAL(0),
114
                .C_HAS_ALMOST_EMPTY(0),
115
                .C_HAS_ALMOST_FULL(0),
116
                .C_HAS_AXIS_TDATA(0),
117
                .C_HAS_AXIS_TDEST(0),
118
                .C_HAS_AXIS_TID(0),
119
                .C_HAS_AXIS_TKEEP(0),
120
                .C_HAS_AXIS_TLAST(0),
121
                .C_HAS_AXIS_TREADY(1),
122
                .C_HAS_AXIS_TSTRB(0),
123
                .C_HAS_AXIS_TUSER(0),
124
                .C_HAS_AXI_ARUSER(0),
125
                .C_HAS_AXI_AWUSER(0),
126
                .C_HAS_AXI_BUSER(0),
127
                .C_HAS_AXI_RD_CHANNEL(0),
128
                .C_HAS_AXI_RUSER(0),
129
                .C_HAS_AXI_WR_CHANNEL(0),
130
                .C_HAS_AXI_WUSER(0),
131
                .C_HAS_BACKUP(0),
132
                .C_HAS_DATA_COUNT(0),
133
                .C_HAS_DATA_COUNTS_AXIS(0),
134
                .C_HAS_DATA_COUNTS_RACH(0),
135
                .C_HAS_DATA_COUNTS_RDCH(0),
136
                .C_HAS_DATA_COUNTS_WACH(0),
137
                .C_HAS_DATA_COUNTS_WDCH(0),
138
                .C_HAS_DATA_COUNTS_WRCH(0),
139
                .C_HAS_INT_CLK(0),
140
                .C_HAS_MASTER_CE(0),
141
                .C_HAS_MEMINIT_FILE(0),
142
                .C_HAS_OVERFLOW(0),
143
                .C_HAS_PROG_FLAGS_AXIS(0),
144
                .C_HAS_PROG_FLAGS_RACH(0),
145
                .C_HAS_PROG_FLAGS_RDCH(0),
146
                .C_HAS_PROG_FLAGS_WACH(0),
147
                .C_HAS_PROG_FLAGS_WDCH(0),
148
                .C_HAS_PROG_FLAGS_WRCH(0),
149
                .C_HAS_RD_DATA_COUNT(0),
150
                .C_HAS_RD_RST(0),
151
                .C_HAS_RST(1),
152
                .C_HAS_SLAVE_CE(0),
153
                .C_HAS_SRST(0),
154
                .C_HAS_UNDERFLOW(0),
155
                .C_HAS_VALID(0),
156
                .C_HAS_WR_ACK(0),
157
                .C_HAS_WR_DATA_COUNT(0),
158
                .C_HAS_WR_RST(0),
159
                .C_IMPLEMENTATION_TYPE(5),
160
                .C_IMPLEMENTATION_TYPE_AXIS(1),
161
                .C_IMPLEMENTATION_TYPE_RACH(1),
162
                .C_IMPLEMENTATION_TYPE_RDCH(1),
163
                .C_IMPLEMENTATION_TYPE_WACH(1),
164
                .C_IMPLEMENTATION_TYPE_WDCH(1),
165
                .C_IMPLEMENTATION_TYPE_WRCH(1),
166
                .C_INIT_WR_PNTR_VAL(0),
167
                .C_INTERFACE_TYPE(0),
168
                .C_MEMORY_TYPE(4),
169
                .C_MIF_FILE_NAME("BlankString"),
170
                .C_MSGON_VAL(1),
171
                .C_OPTIMIZATION_MODE(0),
172
                .C_OVERFLOW_LOW(0),
173
                .C_PRELOAD_LATENCY(1),
174
                .C_PRELOAD_REGS(0),
175
                .C_PRIM_FIFO_TYPE("512x72"),
176
                .C_PROG_EMPTY_THRESH_ASSERT_VAL(5),
177
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
178
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
179
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
180
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
181
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
182
                .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
183
                .C_PROG_EMPTY_THRESH_NEGATE_VAL(6),
184
                .C_PROG_EMPTY_TYPE(0),
185
                .C_PROG_EMPTY_TYPE_AXIS(5),
186
                .C_PROG_EMPTY_TYPE_RACH(5),
187
                .C_PROG_EMPTY_TYPE_RDCH(5),
188
                .C_PROG_EMPTY_TYPE_WACH(5),
189
                .C_PROG_EMPTY_TYPE_WDCH(5),
190
                .C_PROG_EMPTY_TYPE_WRCH(5),
191
                .C_PROG_FULL_THRESH_ASSERT_VAL(496),
192
                .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
193
                .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
194
                .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
195
                .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
196
                .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
197
                .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
198
                .C_PROG_FULL_THRESH_NEGATE_VAL(495),
199
                .C_PROG_FULL_TYPE(1),
200
                .C_PROG_FULL_TYPE_AXIS(5),
201
                .C_PROG_FULL_TYPE_RACH(5),
202
                .C_PROG_FULL_TYPE_RDCH(5),
203
                .C_PROG_FULL_TYPE_WACH(5),
204
                .C_PROG_FULL_TYPE_WDCH(5),
205
                .C_PROG_FULL_TYPE_WRCH(5),
206
                .C_RACH_TYPE(0),
207
                .C_RDCH_TYPE(0),
208
                .C_RD_DATA_COUNT_WIDTH(9),
209
                .C_RD_DEPTH(512),
210
                .C_RD_FREQ(125),
211
                .C_RD_PNTR_WIDTH(9),
212
                .C_REG_SLICE_MODE_AXIS(0),
213
                .C_REG_SLICE_MODE_RACH(0),
214
                .C_REG_SLICE_MODE_RDCH(0),
215
                .C_REG_SLICE_MODE_WACH(0),
216
                .C_REG_SLICE_MODE_WDCH(0),
217
                .C_REG_SLICE_MODE_WRCH(0),
218
                .C_UNDERFLOW_LOW(0),
219
                .C_USE_COMMON_OVERFLOW(0),
220
                .C_USE_COMMON_UNDERFLOW(0),
221
                .C_USE_DEFAULT_SETTINGS(0),
222
                .C_USE_DOUT_RST(0),
223
                .C_USE_ECC(0),
224
                .C_USE_ECC_AXIS(0),
225
                .C_USE_ECC_RACH(0),
226
                .C_USE_ECC_RDCH(0),
227
                .C_USE_ECC_WACH(0),
228
                .C_USE_ECC_WDCH(0),
229
                .C_USE_ECC_WRCH(0),
230
                .C_USE_EMBEDDED_REG(0),
231
                .C_USE_FIFO16_FLAGS(0),
232
                .C_USE_FWFT_DATA_COUNT(0),
233
                .C_VALID_LOW(0),
234
                .C_WACH_TYPE(0),
235
                .C_WDCH_TYPE(0),
236
                .C_WRCH_TYPE(0),
237
                .C_WR_ACK_LOW(0),
238
                .C_WR_DATA_COUNT_WIDTH(9),
239
                .C_WR_DEPTH(512),
240
                .C_WR_DEPTH_AXIS(1024),
241
                .C_WR_DEPTH_RACH(16),
242
                .C_WR_DEPTH_RDCH(1024),
243
                .C_WR_DEPTH_WACH(16),
244
                .C_WR_DEPTH_WDCH(1024),
245
                .C_WR_DEPTH_WRCH(16),
246
                .C_WR_FREQ(125),
247
                .C_WR_PNTR_WIDTH(9),
248
                .C_WR_PNTR_WIDTH_AXIS(10),
249
                .C_WR_PNTR_WIDTH_RACH(4),
250
                .C_WR_PNTR_WIDTH_RDCH(10),
251
                .C_WR_PNTR_WIDTH_WACH(4),
252
                .C_WR_PNTR_WIDTH_WDCH(10),
253
                .C_WR_PNTR_WIDTH_WRCH(4),
254
                .C_WR_RESPONSE_LATENCY(1))
255
        inst (
256
                .RST(rst),
257
                .WR_CLK(wr_clk),
258
                .RD_CLK(rd_clk),
259
                .DIN(din),
260
                .WR_EN(wr_en),
261
                .RD_EN(rd_en),
262
                .DOUT(dout),
263
                .FULL(full),
264
                .EMPTY(empty),
265
                .PROG_FULL(prog_full),
266
                .BACKUP(),
267
                .BACKUP_MARKER(),
268
                .CLK(),
269
                .SRST(),
270
                .WR_RST(),
271
                .RD_RST(),
272
                .PROG_EMPTY_THRESH(),
273
                .PROG_EMPTY_THRESH_ASSERT(),
274
                .PROG_EMPTY_THRESH_NEGATE(),
275
                .PROG_FULL_THRESH(),
276
                .PROG_FULL_THRESH_ASSERT(),
277
                .PROG_FULL_THRESH_NEGATE(),
278
                .INT_CLK(),
279
                .INJECTDBITERR(),
280
                .INJECTSBITERR(),
281
                .ALMOST_FULL(),
282
                .WR_ACK(),
283
                .OVERFLOW(),
284
                .ALMOST_EMPTY(),
285
                .VALID(),
286
                .UNDERFLOW(),
287
                .DATA_COUNT(),
288
                .RD_DATA_COUNT(),
289
                .WR_DATA_COUNT(),
290
                .PROG_EMPTY(),
291
                .SBITERR(),
292
                .DBITERR(),
293
                .M_ACLK(),
294
                .S_ACLK(),
295
                .S_ARESETN(),
296
                .M_ACLK_EN(),
297
                .S_ACLK_EN(),
298
                .S_AXI_AWID(),
299
                .S_AXI_AWADDR(),
300
                .S_AXI_AWLEN(),
301
                .S_AXI_AWSIZE(),
302
                .S_AXI_AWBURST(),
303
                .S_AXI_AWLOCK(),
304
                .S_AXI_AWCACHE(),
305
                .S_AXI_AWPROT(),
306
                .S_AXI_AWQOS(),
307
                .S_AXI_AWREGION(),
308
                .S_AXI_AWUSER(),
309
                .S_AXI_AWVALID(),
310
                .S_AXI_AWREADY(),
311
                .S_AXI_WID(),
312
                .S_AXI_WDATA(),
313
                .S_AXI_WSTRB(),
314
                .S_AXI_WLAST(),
315
                .S_AXI_WUSER(),
316
                .S_AXI_WVALID(),
317
                .S_AXI_WREADY(),
318
                .S_AXI_BID(),
319
                .S_AXI_BRESP(),
320
                .S_AXI_BUSER(),
321
                .S_AXI_BVALID(),
322
                .S_AXI_BREADY(),
323
                .M_AXI_AWID(),
324
                .M_AXI_AWADDR(),
325
                .M_AXI_AWLEN(),
326
                .M_AXI_AWSIZE(),
327
                .M_AXI_AWBURST(),
328
                .M_AXI_AWLOCK(),
329
                .M_AXI_AWCACHE(),
330
                .M_AXI_AWPROT(),
331
                .M_AXI_AWQOS(),
332
                .M_AXI_AWREGION(),
333
                .M_AXI_AWUSER(),
334
                .M_AXI_AWVALID(),
335
                .M_AXI_AWREADY(),
336
                .M_AXI_WID(),
337
                .M_AXI_WDATA(),
338
                .M_AXI_WSTRB(),
339
                .M_AXI_WLAST(),
340
                .M_AXI_WUSER(),
341
                .M_AXI_WVALID(),
342
                .M_AXI_WREADY(),
343
                .M_AXI_BID(),
344
                .M_AXI_BRESP(),
345
                .M_AXI_BUSER(),
346
                .M_AXI_BVALID(),
347
                .M_AXI_BREADY(),
348
                .S_AXI_ARID(),
349
                .S_AXI_ARADDR(),
350
                .S_AXI_ARLEN(),
351
                .S_AXI_ARSIZE(),
352
                .S_AXI_ARBURST(),
353
                .S_AXI_ARLOCK(),
354
                .S_AXI_ARCACHE(),
355
                .S_AXI_ARPROT(),
356
                .S_AXI_ARQOS(),
357
                .S_AXI_ARREGION(),
358
                .S_AXI_ARUSER(),
359
                .S_AXI_ARVALID(),
360
                .S_AXI_ARREADY(),
361
                .S_AXI_RID(),
362
                .S_AXI_RDATA(),
363
                .S_AXI_RRESP(),
364
                .S_AXI_RLAST(),
365
                .S_AXI_RUSER(),
366
                .S_AXI_RVALID(),
367
                .S_AXI_RREADY(),
368
                .M_AXI_ARID(),
369
                .M_AXI_ARADDR(),
370
                .M_AXI_ARLEN(),
371
                .M_AXI_ARSIZE(),
372
                .M_AXI_ARBURST(),
373
                .M_AXI_ARLOCK(),
374
                .M_AXI_ARCACHE(),
375
                .M_AXI_ARPROT(),
376
                .M_AXI_ARQOS(),
377
                .M_AXI_ARREGION(),
378
                .M_AXI_ARUSER(),
379
                .M_AXI_ARVALID(),
380
                .M_AXI_ARREADY(),
381
                .M_AXI_RID(),
382
                .M_AXI_RDATA(),
383
                .M_AXI_RRESP(),
384
                .M_AXI_RLAST(),
385
                .M_AXI_RUSER(),
386
                .M_AXI_RVALID(),
387
                .M_AXI_RREADY(),
388
                .S_AXIS_TVALID(),
389
                .S_AXIS_TREADY(),
390
                .S_AXIS_TDATA(),
391
                .S_AXIS_TSTRB(),
392
                .S_AXIS_TKEEP(),
393
                .S_AXIS_TLAST(),
394
                .S_AXIS_TID(),
395
                .S_AXIS_TDEST(),
396
                .S_AXIS_TUSER(),
397
                .M_AXIS_TVALID(),
398
                .M_AXIS_TREADY(),
399
                .M_AXIS_TDATA(),
400
                .M_AXIS_TSTRB(),
401
                .M_AXIS_TKEEP(),
402
                .M_AXIS_TLAST(),
403
                .M_AXIS_TID(),
404
                .M_AXIS_TDEST(),
405
                .M_AXIS_TUSER(),
406
                .AXI_AW_INJECTSBITERR(),
407
                .AXI_AW_INJECTDBITERR(),
408
                .AXI_AW_PROG_FULL_THRESH(),
409
                .AXI_AW_PROG_EMPTY_THRESH(),
410
                .AXI_AW_DATA_COUNT(),
411
                .AXI_AW_WR_DATA_COUNT(),
412
                .AXI_AW_RD_DATA_COUNT(),
413
                .AXI_AW_SBITERR(),
414
                .AXI_AW_DBITERR(),
415
                .AXI_AW_OVERFLOW(),
416
                .AXI_AW_UNDERFLOW(),
417
                .AXI_W_INJECTSBITERR(),
418
                .AXI_W_INJECTDBITERR(),
419
                .AXI_W_PROG_FULL_THRESH(),
420
                .AXI_W_PROG_EMPTY_THRESH(),
421
                .AXI_W_DATA_COUNT(),
422
                .AXI_W_WR_DATA_COUNT(),
423
                .AXI_W_RD_DATA_COUNT(),
424
                .AXI_W_SBITERR(),
425
                .AXI_W_DBITERR(),
426
                .AXI_W_OVERFLOW(),
427
                .AXI_W_UNDERFLOW(),
428
                .AXI_B_INJECTSBITERR(),
429
                .AXI_B_INJECTDBITERR(),
430
                .AXI_B_PROG_FULL_THRESH(),
431
                .AXI_B_PROG_EMPTY_THRESH(),
432
                .AXI_B_DATA_COUNT(),
433
                .AXI_B_WR_DATA_COUNT(),
434
                .AXI_B_RD_DATA_COUNT(),
435
                .AXI_B_SBITERR(),
436
                .AXI_B_DBITERR(),
437
                .AXI_B_OVERFLOW(),
438
                .AXI_B_UNDERFLOW(),
439
                .AXI_AR_INJECTSBITERR(),
440
                .AXI_AR_INJECTDBITERR(),
441
                .AXI_AR_PROG_FULL_THRESH(),
442
                .AXI_AR_PROG_EMPTY_THRESH(),
443
                .AXI_AR_DATA_COUNT(),
444
                .AXI_AR_WR_DATA_COUNT(),
445
                .AXI_AR_RD_DATA_COUNT(),
446
                .AXI_AR_SBITERR(),
447
                .AXI_AR_DBITERR(),
448
                .AXI_AR_OVERFLOW(),
449
                .AXI_AR_UNDERFLOW(),
450
                .AXI_R_INJECTSBITERR(),
451
                .AXI_R_INJECTDBITERR(),
452
                .AXI_R_PROG_FULL_THRESH(),
453
                .AXI_R_PROG_EMPTY_THRESH(),
454
                .AXI_R_DATA_COUNT(),
455
                .AXI_R_WR_DATA_COUNT(),
456
                .AXI_R_RD_DATA_COUNT(),
457
                .AXI_R_SBITERR(),
458
                .AXI_R_DBITERR(),
459
                .AXI_R_OVERFLOW(),
460
                .AXI_R_UNDERFLOW(),
461
                .AXIS_INJECTSBITERR(),
462
                .AXIS_INJECTDBITERR(),
463
                .AXIS_PROG_FULL_THRESH(),
464
                .AXIS_PROG_EMPTY_THRESH(),
465
                .AXIS_DATA_COUNT(),
466
                .AXIS_WR_DATA_COUNT(),
467
                .AXIS_RD_DATA_COUNT(),
468
                .AXIS_SBITERR(),
469
                .AXIS_DBITERR(),
470
                .AXIS_OVERFLOW(),
471
                .AXIS_UNDERFLOW());
472
 
473
 
474
// synthesis translate_on
475
 
476
// XST black box declaration
477
// box_type "black_box"
478
// synthesis attribute box_type of v6_prime_fifo_plain is "black_box"
479
 
480
endmodule
481
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.