OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [ipcore_dir_ISE13.3/] [v6_bram4096x64.vho] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2012 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
 
29
--------------------------------------------------------------------------------
30
--    Generated from core with identifier: xilinx.com:ip:blk_mem_gen:6.2      --
31
--                                                                            --
32
--    The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port    --
33
--    Block Memory and Single Port Block Memory LogiCOREs, but is not a       --
34
--    direct drop-in replacement.  It should be used in all new Xilinx        --
35
--    designs. The core supports RAM and ROM functions over a wide range of   --
36
--    widths and depths. Use this core to generate block memories with        --
37
--    symmetric or asymmetric read and write port widths, as well as cores    --
38
--    which can perform simultaneous write operations to separate             --
39
--    locations, and simultaneous read operations from the same location.     --
40
--    For more information on differences in interface and feature support    --
41
--    between this core and the Dual Port Block Memory and Single Port        --
42
--    Block Memory LogiCOREs, please consult the data sheet.                  --
43
--------------------------------------------------------------------------------
44
 
45
-- Interfaces:
46
--    AXI_SLAVE_S_AXI
47
--    AXILite_SLAVE_S_AXI
48
 
49
-- The following code must appear in the VHDL architecture header:
50
 
51
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
52
COMPONENT v6_bram4096x64
53
  PORT (
54
    clka : IN STD_LOGIC;
55
    wea : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
56
    addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
57
    dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
58
    douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
59
    clkb : IN STD_LOGIC;
60
    web : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
61
    addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
62
    dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
63
    doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
64
  );
65
END COMPONENT;
66
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
67
 
68
-- The following code must appear in the VHDL architecture
69
-- body. Substitute your own instance name and net names.
70
 
71
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
72
your_instance_name : v6_bram4096x64
73
  PORT MAP (
74
    clka => clka,
75
    wea => wea,
76
    addra => addra,
77
    dina => dina,
78
    douta => douta,
79
    clkb => clkb,
80
    web => web,
81
    addrb => addrb,
82
    dinb => dinb,
83
    doutb => doutb
84
  );
85
-- INST_TAG_END ------ End INSTANTIATION Template ------------
86
 
87
-- You must compile the wrapper file v6_bram4096x64.vhd when simulating
88
-- the core, v6_bram4096x64. When compiling the wrapper file, be sure to
89
-- reference the XilinxCoreLib VHDL simulation library. For detailed
90
-- instructions, please refer to the "CORE Generator Help".
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.