OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE12.3/] [pcie_sg_dma/] [Virtex6/] [ML605/] [v6_pcie_v1_3/] [source/] [pcie_2_0_v6.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information of Xilinx, Inc.
6
// and is protected under U.S. and international copyright and other
7
// intellectual property laws.
8
//
9
// DISCLAIMER
10
//
11
// This disclaimer is not a license and does not grant any rights to the
12
// materials distributed herewith. Except as otherwise provided in a valid
13
// license issued to you by Xilinx, and to the maximum extent permitted by
14
// applicable law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL
15
// FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS,
16
// IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
17
// MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
18
// and (2) Xilinx shall not be liable (whether in contract or tort, including
19
// negligence, or under any other theory of liability) for any loss or damage
20
// of any kind or nature related to, arising under or in connection with these
21
// materials, including for any direct, or any indirect, special, incidental,
22
// or consequential loss or damage (including loss of data, profits, goodwill,
23
// or any type of loss or damage suffered as a result of any action brought by
24
// a third party) even if such damage or loss was reasonably foreseeable or
25
// Xilinx had been advised of the possibility of the same.
26
//
27
// CRITICAL APPLICATIONS
28
//
29
// Xilinx products are not designed or intended to be fail-safe, or for use in
30
// any application requiring fail-safe performance, such as life-support or
31
// safety devices or systems, Class III medical devices, nuclear facilities,
32
// applications related to the deployment of airbags, or any other
33
// applications that could lead to death, personal injury, or severe property
34
// or environmental damage (individually and collectively, "Critical
35
// Applications"). Customer assumes the sole risk and liability of any use of
36
// Xilinx products in Critical Applications, subject only to applicable laws
37
// and regulations governing limitations on product liability.
38
//
39
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE
40
// AT ALL TIMES.
41
//
42
//-----------------------------------------------------------------------------
43
// Project    : Virtex-6 Integrated Block for PCI Express
44
// File       : pcie_2_0_v6.v
45
//-- Description: Solution wrapper for Virtex6 Hard Block for PCI Express
46
//--             
47
//--            
48
//--
49
//--------------------------------------------------------------------------------
50
`timescale 1ps/1ps
51
 
52
(* X_CORE_INFO = "v6_pcie_v1_3, Coregen 11.3" *)
53
module pcie_2_0_v6 #(
54
    parameter        TCQ = 1,
55
    parameter        REF_CLK_FREQ = 0,                        // 0 - 100 MHz, 1 - 125 MHz, 2 - 250 MHz
56
    parameter        PIPE_PIPELINE_STAGES = 0,                // 0 - 0 stages, 1 - 1 stage, 2 - 2 stages
57
    parameter        AER_BASE_PTR = 12'h128,
58
    parameter        AER_CAP_ECRC_CHECK_CAPABLE = "FALSE",
59
    parameter        AER_CAP_ECRC_GEN_CAPABLE = "FALSE",
60
    parameter        AER_CAP_ID = 16'h0001,
61
    parameter        AER_CAP_INT_MSG_NUM_MSI = 5'h0a,
62
    parameter        AER_CAP_INT_MSG_NUM_MSIX = 5'h15,
63
    parameter        AER_CAP_NEXTPTR = 12'h160,
64
    parameter        AER_CAP_ON = "FALSE",
65
    parameter        AER_CAP_PERMIT_ROOTERR_UPDATE = "TRUE",
66
    parameter        AER_CAP_VERSION = 4'h1,
67
    parameter        ALLOW_X8_GEN2 = "TRUE",
68
    parameter        BAR0 = 32'hffffff00,
69
    parameter        BAR1 = 32'hffff0000,
70
    parameter        BAR2 = 32'hffff000c,
71
    parameter        BAR3 = 32'hffffffff,
72
    parameter        BAR4 = 32'h00000000,
73
    parameter        BAR5 = 32'h00000000,
74
    parameter        CAPABILITIES_PTR = 8'h40,
75
    parameter        CARDBUS_CIS_POINTER = 32'h00000000,
76
    parameter        CLASS_CODE = 24'h000000,
77
    parameter        CMD_INTX_IMPLEMENTED = "TRUE",
78
    parameter        CPL_TIMEOUT_DISABLE_SUPPORTED = "FALSE",
79
    parameter        CPL_TIMEOUT_RANGES_SUPPORTED = 4'h0,
80
    parameter        CRM_MODULE_RSTS = 7'h00,
81
    parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE = "TRUE",
82
    parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE = "TRUE",
83
    parameter        DEV_CAP_ENDPOINT_L0S_LATENCY = 0,
84
    parameter        DEV_CAP_ENDPOINT_L1_LATENCY = 0,
85
    parameter        DEV_CAP_EXT_TAG_SUPPORTED = "TRUE",
86
    parameter        DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE = "FALSE",
87
    parameter        DEV_CAP_MAX_PAYLOAD_SUPPORTED = 2,
88
    parameter        DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT = 0,
89
    parameter        DEV_CAP_ROLE_BASED_ERROR = "TRUE",
90
    parameter        DEV_CAP_RSVD_14_12 = 0,
91
    parameter        DEV_CAP_RSVD_17_16 = 0,
92
    parameter        DEV_CAP_RSVD_31_29 = 0,
93
    parameter        DEV_CONTROL_AUX_POWER_SUPPORTED = "FALSE",
94
    parameter        DEVICE_ID = 16'h0007,
95
    parameter        DISABLE_ASPM_L1_TIMER = "FALSE",
96
    parameter        DISABLE_BAR_FILTERING = "FALSE",
97
    parameter        DISABLE_ID_CHECK = "FALSE",
98
    parameter        DISABLE_LANE_REVERSAL = "FALSE",
99
    parameter        DISABLE_RX_TC_FILTER = "FALSE",
100
    parameter        DISABLE_SCRAMBLING = "FALSE",
101
    parameter        DNSTREAM_LINK_NUM = 8'h00,
102
    parameter        DSN_BASE_PTR = 12'h100,
103
    parameter        DSN_CAP_ID = 16'h0003,
104
    parameter        DSN_CAP_NEXTPTR = 12'h000,
105
    parameter        DSN_CAP_ON = "TRUE",
106
    parameter        DSN_CAP_VERSION = 4'h1,
107
    parameter        ENABLE_MSG_ROUTE = 11'h000,
108
    parameter        ENABLE_RX_TD_ECRC_TRIM = "FALSE",
109
    parameter        ENTER_RVRY_EI_L0 = "TRUE",
110
    parameter        EXPANSION_ROM = 32'hfffff001,
111
    parameter        EXT_CFG_CAP_PTR = 6'h3f,
112
    parameter        EXT_CFG_XP_CAP_PTR = 10'h3ff,
113
    parameter        HEADER_TYPE = 8'h00,
114
    parameter        INFER_EI = 5'h00,
115
    parameter        INTERRUPT_PIN = 8'h01,
116
    parameter        IS_SWITCH = "FALSE",
117
    parameter        LAST_CONFIG_DWORD = 10'h042,
118
    parameter        LINK_CAP_ASPM_SUPPORT = 1,
119
    parameter        LINK_CAP_CLOCK_POWER_MANAGEMENT = "FALSE",
120
    parameter        LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP = "FALSE",
121
    parameter        LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP = "FALSE",
122
    parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 = 7,
123
    parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 = 7,
124
    parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN1 = 7,
125
    parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN2 = 7,
126
    parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 = 7,
127
    parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 = 7,
128
    parameter        LINK_CAP_L1_EXIT_LATENCY_GEN1 = 7,
129
    parameter        LINK_CAP_L1_EXIT_LATENCY_GEN2 = 7,
130
    parameter        LINK_CAP_MAX_LINK_SPEED = 4'h1,
131
    parameter        LINK_CAP_MAX_LINK_WIDTH = 6'h08,
132
    parameter        LINK_CAP_RSVD_23_22 = 0,
133
    parameter        LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE = "FALSE",
134
    parameter        LINK_CONTROL_RCB = 0,
135
    parameter        LINK_CTRL2_DEEMPHASIS = "FALSE",
136
    parameter        LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE = "FALSE",
137
    parameter        LINK_CTRL2_TARGET_LINK_SPEED = 4'h0,
138
    parameter        LINK_STATUS_SLOT_CLOCK_CONFIG = "TRUE",
139
    parameter        LL_ACK_TIMEOUT = 15'h0204,
140
    parameter        LL_ACK_TIMEOUT_EN = "FALSE",
141
    parameter        LL_ACK_TIMEOUT_FUNC = 0,
142
    parameter        LL_REPLAY_TIMEOUT = 15'h060d,
143
    parameter        LL_REPLAY_TIMEOUT_EN = "FALSE",
144
    parameter        LL_REPLAY_TIMEOUT_FUNC = 0,
145
    parameter        LTSSM_MAX_LINK_WIDTH = LINK_CAP_MAX_LINK_WIDTH,
146
    parameter        MSI_BASE_PTR = 8'h48,
147
    parameter        MSI_CAP_ID = 8'h05,
148
    parameter        MSI_CAP_MULTIMSGCAP = 0,
149
    parameter        MSI_CAP_MULTIMSG_EXTENSION = 0,
150
    parameter        MSI_CAP_NEXTPTR = 8'h60,
151
    parameter        MSI_CAP_ON = "FALSE",
152
    parameter        MSI_CAP_PER_VECTOR_MASKING_CAPABLE = "TRUE",
153
    parameter        MSI_CAP_64_BIT_ADDR_CAPABLE = "TRUE",
154
    parameter        MSIX_BASE_PTR = 8'h9c,
155
    parameter        MSIX_CAP_ID = 8'h11,
156
    parameter        MSIX_CAP_NEXTPTR = 8'h00,
157
    parameter        MSIX_CAP_ON = "FALSE",
158
    parameter        MSIX_CAP_PBA_BIR = 0,
159
    parameter        MSIX_CAP_PBA_OFFSET = 29'h00000050,
160
    parameter        MSIX_CAP_TABLE_BIR = 0,
161
    parameter        MSIX_CAP_TABLE_OFFSET = 29'h00000040,
162
    parameter        MSIX_CAP_TABLE_SIZE = 11'h000,
163
    parameter        N_FTS_COMCLK_GEN1 = 255,
164
    parameter        N_FTS_COMCLK_GEN2 = 255,
165
    parameter        N_FTS_GEN1 = 255,
166
    parameter        N_FTS_GEN2 = 255,
167
    parameter        PCIE_BASE_PTR = 8'h60,
168
    parameter        PCIE_CAP_CAPABILITY_ID = 8'h10,
169
    parameter        PCIE_CAP_CAPABILITY_VERSION = 4'h2,
170
    parameter        PCIE_CAP_DEVICE_PORT_TYPE = 4'h0,
171
    parameter        PCIE_CAP_INT_MSG_NUM = 5'h00,
172
    parameter        PCIE_CAP_NEXTPTR = 8'h00,
173
    parameter        PCIE_CAP_ON = "TRUE",
174
    parameter        PCIE_CAP_RSVD_15_14 = 0,
175
    parameter        PCIE_CAP_SLOT_IMPLEMENTED = "FALSE",
176
    parameter        PCIE_REVISION = 2,
177
    parameter        PGL0_LANE = 0,
178
    parameter        PGL1_LANE = 1,
179
    parameter        PGL2_LANE = 2,
180
    parameter        PGL3_LANE = 3,
181
    parameter        PGL4_LANE = 4,
182
    parameter        PGL5_LANE = 5,
183
    parameter        PGL6_LANE = 6,
184
    parameter        PGL7_LANE = 7,
185
    parameter        PL_AUTO_CONFIG = 0,
186
    parameter        PL_FAST_TRAIN = "FALSE",
187
    parameter        PM_BASE_PTR = 8'h40,
188
    parameter        PM_CAP_AUXCURRENT = 0,
189
    parameter        PM_CAP_DSI = "FALSE",
190
    parameter        PM_CAP_D1SUPPORT = "TRUE",
191
    parameter        PM_CAP_D2SUPPORT = "TRUE",
192
    parameter        PM_CAP_ID = 8'h01,
193
    parameter        PM_CAP_NEXTPTR = 8'h48,
194
    parameter        PM_CAP_ON = "TRUE",
195
    parameter        PM_CAP_PME_CLOCK = "FALSE",
196
    parameter        PM_CAP_PMESUPPORT = 5'h0f,
197
    parameter        PM_CAP_RSVD_04 = 0,
198
    parameter        PM_CAP_VERSION = 3,
199
    parameter        PM_CSR_BPCCEN = "FALSE",
200
    parameter        PM_CSR_B2B3 = "FALSE",
201
    parameter        PM_CSR_NOSOFTRST = "TRUE",
202
    parameter        PM_DATA_SCALE0 = 2'h1,
203
    parameter        PM_DATA_SCALE1 = 2'h1,
204
    parameter        PM_DATA_SCALE2 = 2'h1,
205
    parameter        PM_DATA_SCALE3 = 2'h1,
206
    parameter        PM_DATA_SCALE4 = 2'h1,
207
    parameter        PM_DATA_SCALE5 = 2'h1,
208
    parameter        PM_DATA_SCALE6 = 2'h1,
209
    parameter        PM_DATA_SCALE7 = 2'h1,
210
    parameter        PM_DATA0 = 8'h01,
211
    parameter        PM_DATA1 = 8'h01,
212
    parameter        PM_DATA2 = 8'h01,
213
    parameter        PM_DATA3 = 8'h01,
214
    parameter        PM_DATA4 = 8'h01,
215
    parameter        PM_DATA5 = 8'h01,
216
    parameter        PM_DATA6 = 8'h01,
217
    parameter        PM_DATA7 = 8'h01,
218
    parameter        RECRC_CHK = 0,
219
    parameter        RECRC_CHK_TRIM = "FALSE",
220
    parameter        REVISION_ID = 8'h00,
221
    parameter        ROOT_CAP_CRS_SW_VISIBILITY = "FALSE",
222
    parameter        SELECT_DLL_IF = "FALSE",
223
    parameter        SLOT_CAP_ATT_BUTTON_PRESENT = "FALSE",
224
    parameter        SLOT_CAP_ATT_INDICATOR_PRESENT = "FALSE",
225
    parameter        SLOT_CAP_ELEC_INTERLOCK_PRESENT = "FALSE",
226
    parameter        SLOT_CAP_HOTPLUG_CAPABLE = "FALSE",
227
    parameter        SLOT_CAP_HOTPLUG_SURPRISE = "FALSE",
228
    parameter        SLOT_CAP_MRL_SENSOR_PRESENT = "FALSE",
229
    parameter        SLOT_CAP_NO_CMD_COMPLETED_SUPPORT = "FALSE",
230
    parameter        SLOT_CAP_PHYSICAL_SLOT_NUM = 13'h0000,
231
    parameter        SLOT_CAP_POWER_CONTROLLER_PRESENT = "FALSE",
232
    parameter        SLOT_CAP_POWER_INDICATOR_PRESENT = "FALSE",
233
    parameter        SLOT_CAP_SLOT_POWER_LIMIT_SCALE = 0,
234
    parameter        SLOT_CAP_SLOT_POWER_LIMIT_VALUE = 8'h00,
235
    parameter        SPARE_BIT0 = 0,
236
    parameter        SPARE_BIT1 = 0,
237
    parameter        SPARE_BIT2 = 0,
238
    parameter        SPARE_BIT3 = 0,
239
    parameter        SPARE_BIT4 = 0,
240
    parameter        SPARE_BIT5 = 0,
241
    parameter        SPARE_BIT6 = 0,
242
    parameter        SPARE_BIT7 = 0,
243
    parameter        SPARE_BIT8 = 0,
244
    parameter        SPARE_BYTE0 = 8'h00,
245
    parameter        SPARE_BYTE1 = 8'h00,
246
    parameter        SPARE_BYTE2 = 8'h00,
247
    parameter        SPARE_BYTE3 = 8'h00,
248
    parameter        SPARE_WORD0 = 32'h00000000,
249
    parameter        SPARE_WORD1 = 32'h00000000,
250
    parameter        SPARE_WORD2 = 32'h00000000,
251
    parameter        SPARE_WORD3 = 32'h00000000,
252
    parameter        SUBSYSTEM_ID = 16'h0007,
253
    parameter        SUBSYSTEM_VENDOR_ID = 16'h10ee,
254
    parameter        TL_RBYPASS = "FALSE",
255
    parameter        TL_RX_RAM_RADDR_LATENCY = 0,
256
    parameter        TL_RX_RAM_RDATA_LATENCY = 2,
257
    parameter        TL_RX_RAM_WRITE_LATENCY = 0,
258
    parameter        TL_TFC_DISABLE = "FALSE",
259
    parameter        TL_TX_CHECKS_DISABLE = "FALSE",
260
    parameter        TL_TX_RAM_RADDR_LATENCY = 0,
261
    parameter        TL_TX_RAM_RDATA_LATENCY = 2,
262
    parameter        TL_TX_RAM_WRITE_LATENCY = 0,
263
    parameter        UPCONFIG_CAPABLE = "TRUE",
264
    parameter        UPSTREAM_FACING = "TRUE",
265
    parameter        EXIT_LOOPBACK_ON_EI = "TRUE",
266
    parameter        UR_INV_REQ = "TRUE",
267
    parameter        USER_CLK_FREQ = 3,
268
    parameter        VC_BASE_PTR = 12'h10c,
269
    parameter        VC_CAP_ID = 16'h0002,
270
    parameter        VC_CAP_NEXTPTR = 12'h000,
271
    parameter        VC_CAP_ON = "FALSE",
272
    parameter        VC_CAP_REJECT_SNOOP_TRANSACTIONS = "FALSE",
273
    parameter        VC_CAP_VERSION = 4'h1,
274
    parameter        VC0_CPL_INFINITE = "TRUE",
275
    parameter        VC0_RX_RAM_LIMIT = 13'h03ff,
276
    parameter        VC0_TOTAL_CREDITS_CD = 127,
277
    parameter        VC0_TOTAL_CREDITS_CH = 31,
278
    parameter        VC0_TOTAL_CREDITS_NPH = 12,
279
    parameter        VC0_TOTAL_CREDITS_PD = 288,
280
    parameter        VC0_TOTAL_CREDITS_PH = 32,
281
    parameter        VC0_TX_LASTPACKET = 31,
282
    parameter        VENDOR_ID = 16'h10ee,
283
    parameter        VSEC_BASE_PTR = 12'h160,
284
    parameter        VSEC_CAP_HDR_ID = 16'h1234,
285
    parameter        VSEC_CAP_HDR_LENGTH = 12'h018,
286
    parameter        VSEC_CAP_HDR_REVISION = 4'h1,
287
    parameter        VSEC_CAP_ID = 16'h000b,
288
    parameter        VSEC_CAP_IS_LINK_VISIBLE = "TRUE",
289
    parameter        VSEC_CAP_NEXTPTR = 12'h000,
290
    parameter        VSEC_CAP_ON = "FALSE",
291
    parameter        VSEC_CAP_VERSION = 4'h1
292
 
293
)
294
(
295
 
296
    input            [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPRXN,
297
    input            [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPRXP,
298
    output           [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPTXN,
299
    output           [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPTXP,
300
 
301
    input            SYSCLK,
302
    input            FUNDRSTN,
303
 
304
    output           TRNLNKUPN,
305
    output           TRNCLK,
306
 
307
    output           PHYRDYN,
308
    output           USERRSTN,
309
    output           RECEIVEDFUNCLVLRSTN,
310
    output           LNKCLKEN,
311
    input            SYSRSTN,
312
    input            PLRSTN,
313
    input            DLRSTN,
314
    input            TLRSTN,
315
    input            FUNCLVLRSTN,
316
    input            CMRSTN,
317
    input            CMSTICKYRSTN,
318
 
319
    output [6:0]     TRNRBARHITN,
320
    output [63:0]    TRNRD,
321
    output           TRNRECRCERRN,
322
    output           TRNREOFN,
323
    output           TRNRERRFWDN,
324
    output           TRNRREMN,
325
    output           TRNRSOFN,
326
    output           TRNRSRCDSCN,
327
    output           TRNRSRCRDYN,
328
    input            TRNRDSTRDYN,
329
    input            TRNRNPOKN,
330
 
331
    output [5:0]     TRNTBUFAV,
332
    output           TRNTCFGREQN,
333
 
334
    output           TRNTDLLPDSTRDYN,
335
    output           TRNTDSTRDYN,
336
    output           TRNTERRDROPN,
337
 
338
    input            TRNTCFGGNTN,
339
 
340
    input  [63:0]    TRNTD,
341
    input  [31:0]    TRNTDLLPDATA,
342
    input            TRNTDLLPSRCRDYN,
343
    input            TRNTECRCGENN,
344
    input            TRNTEOFN,
345
    input            TRNTERRFWDN,
346
    input            TRNTREMN,
347
 
348
 
349
    input            TRNTSOFN,
350
    input            TRNTSRCDSCN,
351
    input            TRNTSRCRDYN,
352
    input            TRNTSTRN,
353
 
354
    output [11:0]    TRNFCCPLD,
355
    output [7:0]     TRNFCCPLH,
356
    output [11:0]    TRNFCNPD,
357
    output [7:0]     TRNFCNPH,
358
    output [11:0]    TRNFCPD,
359
    output [7:0]     TRNFCPH,
360
    input  [2:0]     TRNFCSEL,
361
 
362
    output           CFGAERECRCCHECKEN,
363
    output           CFGAERECRCGENEN,
364
    output           CFGCOMMANDBUSMASTERENABLE,
365
    output           CFGCOMMANDINTERRUPTDISABLE,
366
    output           CFGCOMMANDIOENABLE,
367
    output           CFGCOMMANDMEMENABLE,
368
    output           CFGCOMMANDSERREN,
369
    output           CFGDEVCONTROLAUXPOWEREN,
370
    output           CFGDEVCONTROLCORRERRREPORTINGEN,
371
    output           CFGDEVCONTROLENABLERO,
372
    output           CFGDEVCONTROLEXTTAGEN,
373
    output           CFGDEVCONTROLFATALERRREPORTINGEN,
374
    output [2:0]     CFGDEVCONTROLMAXPAYLOAD,
375
    output [2:0]     CFGDEVCONTROLMAXREADREQ,
376
    output           CFGDEVCONTROLNONFATALREPORTINGEN,
377
    output           CFGDEVCONTROLNOSNOOPEN,
378
    output           CFGDEVCONTROLPHANTOMEN,
379
    output           CFGDEVCONTROLURERRREPORTINGEN,
380
    output           CFGDEVCONTROL2CPLTIMEOUTDIS,
381
    output [3:0]     CFGDEVCONTROL2CPLTIMEOUTVAL,
382
    output           CFGDEVSTATUSCORRERRDETECTED,
383
    output           CFGDEVSTATUSFATALERRDETECTED,
384
    output           CFGDEVSTATUSNONFATALERRDETECTED,
385
    output           CFGDEVSTATUSURDETECTED,
386
    output [31:0]    CFGDO,
387
    output           CFGERRAERHEADERLOGSETN,
388
    output           CFGERRCPLRDYN,
389
    output [7:0]     CFGINTERRUPTDO,
390
    output [2:0]     CFGINTERRUPTMMENABLE,
391
    output           CFGINTERRUPTMSIENABLE,
392
    output           CFGINTERRUPTMSIXENABLE,
393
    output           CFGINTERRUPTMSIXFM,
394
    output           CFGINTERRUPTRDYN,
395
    output           CFGLINKCONTROLRCB,
396
    output [1:0]     CFGLINKCONTROLASPMCONTROL,
397
    output           CFGLINKCONTROLAUTOBANDWIDTHINTEN,
398
    output           CFGLINKCONTROLBANDWIDTHINTEN,
399
    output           CFGLINKCONTROLCLOCKPMEN,
400
    output           CFGLINKCONTROLCOMMONCLOCK,
401
    output           CFGLINKCONTROLEXTENDEDSYNC,
402
    output           CFGLINKCONTROLHWAUTOWIDTHDIS,
403
    output           CFGLINKCONTROLLINKDISABLE,
404
    output           CFGLINKCONTROLRETRAINLINK,
405
    output           CFGLINKSTATUSAUTOBANDWIDTHSTATUS,
406
    output           CFGLINKSTATUSBANDWITHSTATUS,
407
    output [1:0]     CFGLINKSTATUSCURRENTSPEED,
408
    output           CFGLINKSTATUSDLLACTIVE,
409
    output           CFGLINKSTATUSLINKTRAINING,
410
    output [3:0]     CFGLINKSTATUSNEGOTIATEDWIDTH,
411
    output [15:0]    CFGMSGDATA,
412
    output           CFGMSGRECEIVED,
413
    output           CFGMSGRECEIVEDASSERTINTA,
414
    output           CFGMSGRECEIVEDASSERTINTB,
415
    output           CFGMSGRECEIVEDASSERTINTC,
416
    output           CFGMSGRECEIVEDASSERTINTD,
417
    output           CFGMSGRECEIVEDDEASSERTINTA,
418
    output           CFGMSGRECEIVEDDEASSERTINTB,
419
    output           CFGMSGRECEIVEDDEASSERTINTC,
420
    output           CFGMSGRECEIVEDDEASSERTINTD,
421
    output           CFGMSGRECEIVEDERRCOR,
422
    output           CFGMSGRECEIVEDERRFATAL,
423
    output           CFGMSGRECEIVEDERRNONFATAL,
424
    output           CFGMSGRECEIVEDPMASNAK,
425
    output           CFGMSGRECEIVEDPMETO,
426
    output           CFGMSGRECEIVEDPMETOACK,
427
    output           CFGMSGRECEIVEDPMPME,
428
    output           CFGMSGRECEIVEDSETSLOTPOWERLIMIT,
429
    output           CFGMSGRECEIVEDUNLOCK,
430
    output [2:0]     CFGPCIELINKSTATE,
431
    output           CFGPMCSRPMEEN,
432
    output           CFGPMCSRPMESTATUS,
433
    output [1:0]     CFGPMCSRPOWERSTATE,
434
    output           CFGPMRCVASREQL1N,
435
    output           CFGPMRCVENTERL1N,
436
    output           CFGPMRCVENTERL23N,
437
    output           CFGPMRCVREQACKN,
438
    output           CFGRDWRDONEN,
439
    output           CFGSLOTCONTROLELECTROMECHILCTLPULSE,
440
    output           CFGTRANSACTION,
441
    output [6:0]     CFGTRANSACTIONADDR,
442
    output           CFGTRANSACTIONTYPE,
443
    output [6:0]     CFGVCTCVCMAP,
444
    input  [3:0]     CFGBYTEENN,
445
    input  [31:0]    CFGDI,
446
    input  [7:0]     CFGDSBUSNUMBER,
447
    input  [4:0]     CFGDSDEVICENUMBER,
448
    input  [2:0]     CFGDSFUNCTIONNUMBER,
449
    input  [63:0]    CFGDSN,
450
    input  [9:0]     CFGDWADDR,
451
    input            CFGERRACSN,
452
    input  [127:0]   CFGERRAERHEADERLOG,
453
    input            CFGERRCORN,
454
    input            CFGERRCPLABORTN,
455
    input            CFGERRCPLTIMEOUTN,
456
    input            CFGERRCPLUNEXPECTN,
457
    input            CFGERRECRCN,
458
    input            CFGERRLOCKEDN,
459
    input            CFGERRPOSTEDN,
460
    input  [47:0]    CFGERRTLPCPLHEADER,
461
    input            CFGERRURN,
462
    input            CFGINTERRUPTASSERTN,
463
    input  [7:0]     CFGINTERRUPTDI,
464
    input            CFGINTERRUPTN,
465
    input            CFGPMDIRECTASPML1N,
466
    input            CFGPMSENDPMACKN,
467
    input            CFGPMSENDPMETON,
468
    input            CFGPMSENDPMNAKN,
469
    input            CFGPMTURNOFFOKN,
470
    input            CFGPMWAKEN,
471
    input  [7:0]     CFGPORTNUMBER,
472
    input            CFGRDENN,
473
    input            CFGTRNPENDINGN,
474
    input            CFGWRENN,
475
    input            CFGWRREADONLYN,
476
    input            CFGWRRW1CASRWN,
477
 
478
    output [2:0]     PLINITIALLINKWIDTH,
479
    output [1:0]     PLLANEREVERSALMODE,
480
    output           PLLINKGEN2CAP,
481
    output           PLLINKPARTNERGEN2SUPPORTED,
482
    output           PLLINKUPCFGCAP,
483
    output [5:0]     PLLTSSMSTATE,
484
    output           PLPHYLNKUPN,
485
    output           PLRECEIVEDHOTRST,
486
    output [1:0]     PLRXPMSTATE,
487
    output           PLSELLNKRATE,
488
    output [1:0]     PLSELLNKWIDTH,
489
    output [2:0]     PLTXPMSTATE,
490
    input            PLDIRECTEDLINKAUTON,
491
    input  [1:0]     PLDIRECTEDLINKCHANGE,
492
    input            PLDIRECTEDLINKSPEED,
493
    input  [1:0]     PLDIRECTEDLINKWIDTH,
494
    input            PLDOWNSTREAMDEEMPHSOURCE,
495
    input            PLUPSTREAMPREFERDEEMPH,
496
    input            PLTRANSMITHOTRST,
497
 
498
    output           DBGSCLRA,
499
    output           DBGSCLRB,
500
    output           DBGSCLRC,
501
    output           DBGSCLRD,
502
    output           DBGSCLRE,
503
    output           DBGSCLRF,
504
    output           DBGSCLRG,
505
    output           DBGSCLRH,
506
    output           DBGSCLRI,
507
    output           DBGSCLRJ,
508
    output           DBGSCLRK,
509
    output [63:0]    DBGVECA,
510
    output [63:0]    DBGVECB,
511
    output [11:0]    DBGVECC,
512
    output [11:0]    PLDBGVEC,
513
    input  [1:0]     DBGMODE,
514
    input            DBGSUBMODE,
515
    input  [2:0]     PLDBGMODE,
516
    output [15:0]    DRPDO,
517
    output           DRPDRDY,
518
    input            DRPCLK,
519
    input  [8:0]     DRPDADDR,
520
    input            DRPDEN,
521
    input  [15:0]    DRPDI,
522
    input            DRPDWE,
523
 
524
    output           GTPLLLOCK,
525
    input            PIPECLK,
526
    input            USERCLK,
527
    input            CLOCKLOCKED
528
 
529
 
530
    );
531
 
532
    // wire declarations
533
 
534
    wire             LL2BADDLLPERRN;
535
    wire             LL2BADTLPERRN;
536
    wire             LL2PROTOCOLERRN;
537
    wire             LL2REPLAYROERRN;
538
    wire             LL2REPLAYTOERRN;
539
    wire             LL2SUSPENDOKN;
540
    wire             LL2TFCINIT1SEQN;
541
    wire             LL2TFCINIT2SEQN;
542
    wire [12:0]      MIMRXRADDR;
543
    wire             MIMRXRCE;
544
    wire             MIMRXREN;
545
    wire [12:0]      MIMRXWADDR;
546
    wire [67:0]      MIMRXWDATA;
547
    wire             MIMRXWEN;
548
    wire [12:0]      MIMTXRADDR;
549
    wire             MIMTXRCE;
550
    wire             MIMTXREN;
551
    wire [12:0]      MIMTXWADDR;
552
    wire [68:0]      MIMTXWDATA;
553
    wire             MIMTXWEN;
554
    wire             PIPERX0POLARITY;
555
    wire             PIPERX1POLARITY;
556
    wire             PIPERX2POLARITY;
557
    wire             PIPERX3POLARITY;
558
    wire             PIPERX4POLARITY;
559
    wire             PIPERX5POLARITY;
560
    wire             PIPERX6POLARITY;
561
    wire             PIPERX7POLARITY;
562
    wire             PIPETXDEEMPH;
563
    wire [2:0]       PIPETXMARGIN;
564
    wire             PIPETXRATE;
565
    wire             PIPETXRCVRDET;
566
    wire             PIPETXRESET;
567
    wire [1:0]       PIPETX0CHARISK;
568
    wire             PIPETX0COMPLIANCE;
569
    wire [15:0]      PIPETX0DATA;
570
    wire             PIPETX0ELECIDLE;
571
    wire [1:0]       PIPETX0POWERDOWN;
572
    wire [1:0]       PIPETX1CHARISK;
573
    wire             PIPETX1COMPLIANCE;
574
    wire [15:0]      PIPETX1DATA;
575
    wire             PIPETX1ELECIDLE;
576
    wire [1:0]       PIPETX1POWERDOWN;
577
    wire [1:0]       PIPETX2CHARISK;
578
    wire             PIPETX2COMPLIANCE;
579
    wire [15:0]      PIPETX2DATA;
580
    wire             PIPETX2ELECIDLE;
581
    wire [1:0]       PIPETX2POWERDOWN;
582
    wire [1:0]       PIPETX3CHARISK;
583
    wire             PIPETX3COMPLIANCE;
584
    wire [15:0]      PIPETX3DATA;
585
    wire             PIPETX3ELECIDLE;
586
    wire [1:0]       PIPETX3POWERDOWN;
587
    wire [1:0]       PIPETX4CHARISK;
588
    wire             PIPETX4COMPLIANCE;
589
    wire [15:0]      PIPETX4DATA;
590
    wire             PIPETX4ELECIDLE;
591
    wire [1:0]       PIPETX4POWERDOWN;
592
    wire [1:0]       PIPETX5CHARISK;
593
    wire             PIPETX5COMPLIANCE;
594
    wire [15:0]      PIPETX5DATA;
595
    wire             PIPETX5ELECIDLE;
596
    wire [1:0]       PIPETX5POWERDOWN;
597
    wire [1:0]       PIPETX6CHARISK;
598
    wire             PIPETX6COMPLIANCE;
599
    wire [15:0]      PIPETX6DATA;
600
    wire             PIPETX6ELECIDLE;
601
    wire [1:0]       PIPETX6POWERDOWN;
602
    wire [1:0]       PIPETX7CHARISK;
603
    wire             PIPETX7COMPLIANCE;
604
    wire [15:0]      PIPETX7DATA;
605
    wire             PIPETX7ELECIDLE;
606
    wire [1:0]       PIPETX7POWERDOWN;
607
    wire             PL2LINKUPN;
608
    wire             PL2RECEIVERERRN;
609
    wire             PL2RECOVERYN;
610
    wire             PL2RXELECIDLE;
611
    wire             PL2SUSPENDOK;
612
    wire             TL2ASPMSUSPENDCREDITCHECKOKN;
613
    wire             TL2ASPMSUSPENDREQN;
614
    wire             TL2PPMSUSPENDOKN;
615
    wire             LL2SENDASREQL1N = 1'b1;
616
    wire             LL2SENDENTERL1N = 1'b1;
617
    wire             LL2SENDENTERL23N = 1'b1;
618
    wire             LL2SUSPENDNOWN = 1'b1;
619
    wire             LL2TLPRCVN = 1'b1;
620
    wire  [67:0]     MIMRXRDATA;
621
    wire  [68:0]     MIMTXRDATA;
622
    wire  [4:0]      PL2DIRECTEDLSTATE = 5'b0;
623
    wire             TL2ASPMSUSPENDCREDITCHECKN;
624
    wire             TL2PPMSUSPENDREQN;
625
    wire             PIPERX0CHANISALIGNED;
626
    wire  [1:0]      PIPERX0CHARISK;
627
    wire  [15:0]     PIPERX0DATA;
628
    wire             PIPERX0ELECIDLE;
629
    wire             PIPERX0PHYSTATUS;
630
    wire  [2:0]      PIPERX0STATUS;
631
    wire             PIPERX0VALID;
632
    wire             PIPERX1CHANISALIGNED;
633
    wire  [1:0]      PIPERX1CHARISK;
634
    wire  [15:0]     PIPERX1DATA;
635
    wire             PIPERX1ELECIDLE;
636
    wire             PIPERX1PHYSTATUS;
637
    wire  [2:0]      PIPERX1STATUS;
638
    wire             PIPERX1VALID;
639
    wire             PIPERX2CHANISALIGNED;
640
    wire  [1:0]      PIPERX2CHARISK;
641
    wire  [15:0]     PIPERX2DATA;
642
    wire             PIPERX2ELECIDLE;
643
    wire             PIPERX2PHYSTATUS;
644
    wire  [2:0]      PIPERX2STATUS;
645
    wire             PIPERX2VALID;
646
    wire             PIPERX3CHANISALIGNED;
647
    wire  [1:0]      PIPERX3CHARISK;
648
    wire  [15:0]     PIPERX3DATA;
649
    wire             PIPERX3ELECIDLE;
650
    wire             PIPERX3PHYSTATUS;
651
    wire  [2:0]      PIPERX3STATUS;
652
    wire             PIPERX3VALID;
653
    wire             PIPERX4CHANISALIGNED;
654
    wire  [1:0]      PIPERX4CHARISK;
655
    wire  [15:0]     PIPERX4DATA;
656
    wire             PIPERX4ELECIDLE;
657
    wire             PIPERX4PHYSTATUS;
658
    wire  [2:0]      PIPERX4STATUS;
659
    wire             PIPERX4VALID;
660
    wire             PIPERX5CHANISALIGNED;
661
    wire  [1:0]      PIPERX5CHARISK;
662
    wire  [15:0]     PIPERX5DATA;
663
    wire             PIPERX5ELECIDLE;
664
    wire             PIPERX5PHYSTATUS;
665
    wire  [2:0]      PIPERX5STATUS;
666
    wire             PIPERX5VALID;
667
    wire             PIPERX6CHANISALIGNED;
668
    wire  [1:0]      PIPERX6CHARISK;
669
    wire  [15:0]     PIPERX6DATA;
670
    wire             PIPERX6ELECIDLE;
671
    wire             PIPERX6PHYSTATUS;
672
    wire  [2:0]      PIPERX6STATUS;
673
    wire             PIPERX6VALID;
674
    wire             PIPERX7CHANISALIGNED;
675
    wire  [1:0]      PIPERX7CHARISK;
676
    wire  [15:0]     PIPERX7DATA;
677
    wire             PIPERX7ELECIDLE;
678
    wire             PIPERX7PHYSTATUS;
679
    wire  [2:0]      PIPERX7STATUS;
680
    wire             PIPERX7VALID;
681
 
682
    wire             PIPERX0POLARITYGT;
683
    wire             PIPERX1POLARITYGT;
684
    wire             PIPERX2POLARITYGT;
685
    wire             PIPERX3POLARITYGT;
686
    wire             PIPERX4POLARITYGT;
687
    wire             PIPERX5POLARITYGT;
688
    wire             PIPERX6POLARITYGT;
689
    wire             PIPERX7POLARITYGT;
690
    wire             PIPETXDEEMPHGT;
691
    wire [2:0]       PIPETXMARGINGT;
692
    wire             PIPETXRATEGT;
693
    wire             PIPETXRCVRDETGT;
694
    wire [1:0]       PIPETX0CHARISKGT;
695
    wire             PIPETX0COMPLIANCEGT;
696
    wire [15:0]      PIPETX0DATAGT;
697
    wire             PIPETX0ELECIDLEGT;
698
    wire [1:0]       PIPETX0POWERDOWNGT;
699
    wire [1:0]       PIPETX1CHARISKGT;
700
    wire             PIPETX1COMPLIANCEGT;
701
    wire [15:0]      PIPETX1DATAGT;
702
    wire             PIPETX1ELECIDLEGT;
703
    wire [1:0]       PIPETX1POWERDOWNGT;
704
    wire [1:0]       PIPETX2CHARISKGT;
705
    wire             PIPETX2COMPLIANCEGT;
706
    wire [15:0]      PIPETX2DATAGT;
707
    wire             PIPETX2ELECIDLEGT;
708
    wire [1:0]       PIPETX2POWERDOWNGT;
709
    wire [1:0]       PIPETX3CHARISKGT;
710
    wire             PIPETX3COMPLIANCEGT;
711
    wire [15:0]      PIPETX3DATAGT;
712
    wire             PIPETX3ELECIDLEGT;
713
    wire [1:0]       PIPETX3POWERDOWNGT;
714
    wire [1:0]       PIPETX4CHARISKGT;
715
    wire             PIPETX4COMPLIANCEGT;
716
    wire [15:0]      PIPETX4DATAGT;
717
    wire             PIPETX4ELECIDLEGT;
718
    wire [1:0]       PIPETX4POWERDOWNGT;
719
    wire [1:0]       PIPETX5CHARISKGT;
720
    wire             PIPETX5COMPLIANCEGT;
721
    wire [15:0]      PIPETX5DATAGT;
722
    wire             PIPETX5ELECIDLEGT;
723
    wire [1:0]       PIPETX5POWERDOWNGT;
724
    wire [1:0]       PIPETX6CHARISKGT;
725
    wire             PIPETX6COMPLIANCEGT;
726
    wire [15:0]      PIPETX6DATAGT;
727
    wire             PIPETX6ELECIDLEGT;
728
    wire [1:0]       PIPETX6POWERDOWNGT;
729
    wire [1:0]       PIPETX7CHARISKGT;
730
    wire             PIPETX7COMPLIANCEGT;
731
    wire [15:0]      PIPETX7DATAGT;
732
    wire             PIPETX7ELECIDLEGT;
733
    wire [1:0]       PIPETX7POWERDOWNGT;
734
 
735
    wire             PIPERX0CHANISALIGNEDGT;
736
    wire  [1:0]      PIPERX0CHARISKGT;
737
    wire  [15:0]     PIPERX0DATAGT;
738
    wire             PIPERX0ELECIDLEGT;
739
    wire             PIPERX0PHYSTATUSGT;
740
    wire  [2:0]      PIPERX0STATUSGT;
741
    wire             PIPERX0VALIDGT;
742
    wire             PIPERX1CHANISALIGNEDGT;
743
    wire  [1:0]      PIPERX1CHARISKGT;
744
    wire  [15:0]     PIPERX1DATAGT;
745
    wire             PIPERX1ELECIDLEGT;
746
    wire             PIPERX1PHYSTATUSGT;
747
    wire  [2:0]      PIPERX1STATUSGT;
748
    wire             PIPERX1VALIDGT;
749
    wire             PIPERX2CHANISALIGNEDGT;
750
    wire  [1:0]      PIPERX2CHARISKGT;
751
    wire  [15:0]     PIPERX2DATAGT;
752
    wire             PIPERX2ELECIDLEGT;
753
    wire             PIPERX2PHYSTATUSGT;
754
    wire  [2:0]      PIPERX2STATUSGT;
755
    wire             PIPERX2VALIDGT;
756
    wire             PIPERX3CHANISALIGNEDGT;
757
    wire  [1:0]      PIPERX3CHARISKGT;
758
    wire  [15:0]     PIPERX3DATAGT;
759
    wire             PIPERX3ELECIDLEGT;
760
    wire             PIPERX3PHYSTATUSGT;
761
    wire  [2:0]      PIPERX3STATUSGT;
762
    wire             PIPERX3VALIDGT;
763
    wire             PIPERX4CHANISALIGNEDGT;
764
    wire  [1:0]      PIPERX4CHARISKGT;
765
    wire  [15:0]     PIPERX4DATAGT;
766
    wire             PIPERX4ELECIDLEGT;
767
    wire             PIPERX4PHYSTATUSGT;
768
    wire  [2:0]      PIPERX4STATUSGT;
769
    wire             PIPERX4VALIDGT;
770
    wire             PIPERX5CHANISALIGNEDGT;
771
    wire  [1:0]      PIPERX5CHARISKGT;
772
    wire  [15:0]     PIPERX5DATAGT;
773
    wire             PIPERX5ELECIDLEGT;
774
    wire             PIPERX5PHYSTATUSGT;
775
    wire  [2:0]      PIPERX5STATUSGT;
776
    wire             PIPERX5VALIDGT;
777
    wire             PIPERX6CHANISALIGNEDGT;
778
    wire  [1:0]      PIPERX6CHARISKGT;
779
    wire  [15:0]     PIPERX6DATAGT;
780
    wire             PIPERX6ELECIDLEGT;
781
    wire             PIPERX6PHYSTATUSGT;
782
    wire  [2:0]      PIPERX6STATUSGT;
783
    wire             PIPERX6VALIDGT;
784
    wire             PIPERX7CHANISALIGNEDGT;
785
    wire  [1:0]      PIPERX7CHARISKGT;
786
    wire  [15:0]     PIPERX7DATAGT;
787
    wire             PIPERX7ELECIDLEGT;
788
    wire             PIPERX7PHYSTATUSGT;
789
    wire  [2:0]      PIPERX7STATUSGT;
790
    wire             PIPERX7VALIDGT;
791
 
792
    wire             filter_pipe_upconfig_fix_3451;
793
 
794
 
795
// Assignments to outputs
796
 
797
    assign TRNCLK = USERCLK;
798
 
799
 
800
 
801
 
802
//-------------------------------------------------------
803
// Virtex6 PCI Express Block Module
804
//-------------------------------------------------------
805
PCIE_2_0 #(
806
 
807
  .AER_BASE_PTR ( AER_BASE_PTR ),
808
  .AER_CAP_ECRC_CHECK_CAPABLE ( AER_CAP_ECRC_CHECK_CAPABLE ),
809
  .AER_CAP_ECRC_GEN_CAPABLE ( AER_CAP_ECRC_GEN_CAPABLE ),
810
  .AER_CAP_ID ( AER_CAP_ID ),
811
  .AER_CAP_INT_MSG_NUM_MSI ( AER_CAP_INT_MSG_NUM_MSI ),
812
  .AER_CAP_INT_MSG_NUM_MSIX ( AER_CAP_INT_MSG_NUM_MSIX ),
813
  .AER_CAP_NEXTPTR ( AER_CAP_NEXTPTR ),
814
  .AER_CAP_ON ( AER_CAP_ON ),
815
  .AER_CAP_PERMIT_ROOTERR_UPDATE ( AER_CAP_PERMIT_ROOTERR_UPDATE ),
816
  .AER_CAP_VERSION ( AER_CAP_VERSION ),
817
  .ALLOW_X8_GEN2 ( ALLOW_X8_GEN2 ),
818
  .BAR0 ( BAR0 ),
819
  .BAR1 ( BAR1 ),
820
  .BAR2 ( BAR2 ),
821
  .BAR3 ( BAR3 ),
822
  .BAR4 ( BAR4 ),
823
  .BAR5 ( BAR5 ),
824
  .CAPABILITIES_PTR ( CAPABILITIES_PTR ),
825
  .CARDBUS_CIS_POINTER ( CARDBUS_CIS_POINTER ),
826
  .CLASS_CODE ( CLASS_CODE ),
827
  .CMD_INTX_IMPLEMENTED ( CMD_INTX_IMPLEMENTED ),
828
  .CPL_TIMEOUT_DISABLE_SUPPORTED ( CPL_TIMEOUT_DISABLE_SUPPORTED ),
829
  .CPL_TIMEOUT_RANGES_SUPPORTED ( CPL_TIMEOUT_RANGES_SUPPORTED ),
830
  .CRM_MODULE_RSTS ( CRM_MODULE_RSTS ),
831
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ),
832
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ),
833
  .DEV_CAP_ENDPOINT_L0S_LATENCY ( DEV_CAP_ENDPOINT_L0S_LATENCY ),
834
  .DEV_CAP_ENDPOINT_L1_LATENCY ( DEV_CAP_ENDPOINT_L1_LATENCY ),
835
  .DEV_CAP_EXT_TAG_SUPPORTED ( DEV_CAP_EXT_TAG_SUPPORTED ),
836
  .DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ( DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ),
837
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED ( DEV_CAP_MAX_PAYLOAD_SUPPORTED ),
838
  .DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ( DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ),
839
  .DEV_CAP_ROLE_BASED_ERROR ( DEV_CAP_ROLE_BASED_ERROR ),
840
  .DEV_CAP_RSVD_14_12 ( DEV_CAP_RSVD_14_12 ),
841
  .DEV_CAP_RSVD_17_16 ( DEV_CAP_RSVD_17_16 ),
842
  .DEV_CAP_RSVD_31_29 ( DEV_CAP_RSVD_31_29 ),
843
  .DEV_CONTROL_AUX_POWER_SUPPORTED ( DEV_CONTROL_AUX_POWER_SUPPORTED ),
844
  .DEVICE_ID ( DEVICE_ID ),
845
  .DISABLE_ASPM_L1_TIMER ( DISABLE_ASPM_L1_TIMER ),
846
  .DISABLE_BAR_FILTERING ( DISABLE_BAR_FILTERING ),
847
  .DISABLE_ID_CHECK ( DISABLE_ID_CHECK ),
848
  .DISABLE_LANE_REVERSAL ( DISABLE_LANE_REVERSAL ),
849
  .DISABLE_RX_TC_FILTER ( DISABLE_RX_TC_FILTER ),
850
  .DISABLE_SCRAMBLING ( DISABLE_SCRAMBLING ),
851
  .DNSTREAM_LINK_NUM ( DNSTREAM_LINK_NUM ),
852
  .DSN_BASE_PTR ( DSN_BASE_PTR ),
853
  .DSN_CAP_ID ( DSN_CAP_ID ),
854
  .DSN_CAP_NEXTPTR ( DSN_CAP_NEXTPTR ),
855
  .DSN_CAP_ON ( DSN_CAP_ON ),
856
  .DSN_CAP_VERSION ( DSN_CAP_VERSION ),
857
  .ENABLE_MSG_ROUTE ( ENABLE_MSG_ROUTE ),
858
  .ENABLE_RX_TD_ECRC_TRIM ( ENABLE_RX_TD_ECRC_TRIM ),
859
  .ENTER_RVRY_EI_L0 ( ENTER_RVRY_EI_L0 ),
860
  .EXPANSION_ROM ( EXPANSION_ROM ),
861
  .EXT_CFG_CAP_PTR ( EXT_CFG_CAP_PTR ),
862
  .EXT_CFG_XP_CAP_PTR ( EXT_CFG_XP_CAP_PTR ),
863
  .HEADER_TYPE ( HEADER_TYPE ),
864
  .INFER_EI ( INFER_EI ),
865
  .INTERRUPT_PIN ( INTERRUPT_PIN ),
866
  .IS_SWITCH ( IS_SWITCH ),
867
  .LAST_CONFIG_DWORD ( LAST_CONFIG_DWORD ),
868
  .LINK_CAP_ASPM_SUPPORT ( LINK_CAP_ASPM_SUPPORT ),
869
  .LINK_CAP_CLOCK_POWER_MANAGEMENT ( LINK_CAP_CLOCK_POWER_MANAGEMENT ),
870
  .LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ( LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ),
871
  .LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ( LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ),
872
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ),
873
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ),
874
  .LINK_CAP_L0S_EXIT_LATENCY_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_GEN1 ),
875
  .LINK_CAP_L0S_EXIT_LATENCY_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_GEN2 ),
876
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ),
877
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ),
878
  .LINK_CAP_L1_EXIT_LATENCY_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_GEN1 ),
879
  .LINK_CAP_L1_EXIT_LATENCY_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_GEN2 ),
880
  .LINK_CAP_MAX_LINK_SPEED ( LINK_CAP_MAX_LINK_SPEED ),
881
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
882
  .LINK_CAP_RSVD_23_22 ( LINK_CAP_RSVD_23_22 ),
883
  .LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ( LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ),
884
  .LINK_CONTROL_RCB ( LINK_CONTROL_RCB ),
885
  .LINK_CTRL2_DEEMPHASIS ( LINK_CTRL2_DEEMPHASIS ),
886
  .LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ( LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ),
887
  .LINK_CTRL2_TARGET_LINK_SPEED ( LINK_CTRL2_TARGET_LINK_SPEED ),
888
  .LINK_STATUS_SLOT_CLOCK_CONFIG ( LINK_STATUS_SLOT_CLOCK_CONFIG ),
889
  .LL_ACK_TIMEOUT ( LL_ACK_TIMEOUT ),
890
  .LL_ACK_TIMEOUT_EN ( LL_ACK_TIMEOUT_EN ),
891
  .LL_ACK_TIMEOUT_FUNC ( LL_ACK_TIMEOUT_FUNC ),
892
  .LL_REPLAY_TIMEOUT ( LL_REPLAY_TIMEOUT ),
893
  .LL_REPLAY_TIMEOUT_EN ( LL_REPLAY_TIMEOUT_EN ),
894
  .LL_REPLAY_TIMEOUT_FUNC ( LL_REPLAY_TIMEOUT_FUNC ),
895
  .LTSSM_MAX_LINK_WIDTH ( LTSSM_MAX_LINK_WIDTH ),
896
  .MSI_BASE_PTR ( MSI_BASE_PTR ),
897
  .MSI_CAP_ID ( MSI_CAP_ID ),
898
  .MSI_CAP_MULTIMSGCAP ( MSI_CAP_MULTIMSGCAP ),
899
  .MSI_CAP_MULTIMSG_EXTENSION ( MSI_CAP_MULTIMSG_EXTENSION ),
900
  .MSI_CAP_NEXTPTR ( MSI_CAP_NEXTPTR ),
901
  .MSI_CAP_ON ( MSI_CAP_ON ),
902
  .MSI_CAP_PER_VECTOR_MASKING_CAPABLE ( MSI_CAP_PER_VECTOR_MASKING_CAPABLE ),
903
  .MSI_CAP_64_BIT_ADDR_CAPABLE ( MSI_CAP_64_BIT_ADDR_CAPABLE ),
904
  .MSIX_BASE_PTR ( MSIX_BASE_PTR ),
905
  .MSIX_CAP_ID ( MSIX_CAP_ID ),
906
  .MSIX_CAP_NEXTPTR ( MSIX_CAP_NEXTPTR ),
907
  .MSIX_CAP_ON ( MSIX_CAP_ON ),
908
  .MSIX_CAP_PBA_BIR ( MSIX_CAP_PBA_BIR ),
909
  .MSIX_CAP_PBA_OFFSET ( MSIX_CAP_PBA_OFFSET ),
910
  .MSIX_CAP_TABLE_BIR ( MSIX_CAP_TABLE_BIR ),
911
  .MSIX_CAP_TABLE_OFFSET ( MSIX_CAP_TABLE_OFFSET ),
912
  .MSIX_CAP_TABLE_SIZE ( MSIX_CAP_TABLE_SIZE ),
913
  .N_FTS_COMCLK_GEN1 ( N_FTS_COMCLK_GEN1 ),
914
  .N_FTS_COMCLK_GEN2 ( N_FTS_COMCLK_GEN2 ),
915
  .N_FTS_GEN1 ( N_FTS_GEN1 ),
916
  .N_FTS_GEN2 ( N_FTS_GEN2 ),
917
  .PCIE_BASE_PTR ( PCIE_BASE_PTR ),
918
  .PCIE_CAP_CAPABILITY_ID ( PCIE_CAP_CAPABILITY_ID ),
919
  .PCIE_CAP_CAPABILITY_VERSION ( PCIE_CAP_CAPABILITY_VERSION ),
920
  .PCIE_CAP_DEVICE_PORT_TYPE ( PCIE_CAP_DEVICE_PORT_TYPE ),
921
  .PCIE_CAP_INT_MSG_NUM ( PCIE_CAP_INT_MSG_NUM ),
922
  .PCIE_CAP_NEXTPTR ( PCIE_CAP_NEXTPTR ),
923
  .PCIE_CAP_ON ( PCIE_CAP_ON ),
924
  .PCIE_CAP_RSVD_15_14 ( PCIE_CAP_RSVD_15_14 ),
925
  .PCIE_CAP_SLOT_IMPLEMENTED ( PCIE_CAP_SLOT_IMPLEMENTED ),
926
  .PCIE_REVISION ( PCIE_REVISION ),
927
  .PGL0_LANE ( PGL0_LANE ),
928
  .PGL1_LANE ( PGL1_LANE ),
929
  .PGL2_LANE ( PGL2_LANE ),
930
  .PGL3_LANE ( PGL3_LANE ),
931
  .PGL4_LANE ( PGL4_LANE ),
932
  .PGL5_LANE ( PGL5_LANE ),
933
  .PGL6_LANE ( PGL6_LANE ),
934
  .PGL7_LANE ( PGL7_LANE ),
935
  .PL_AUTO_CONFIG ( PL_AUTO_CONFIG ),
936
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
937
  .PM_BASE_PTR ( PM_BASE_PTR ),
938
  .PM_CAP_AUXCURRENT ( PM_CAP_AUXCURRENT ),
939
  .PM_CAP_DSI ( PM_CAP_DSI ),
940
  .PM_CAP_D1SUPPORT ( PM_CAP_D1SUPPORT ),
941
  .PM_CAP_D2SUPPORT ( PM_CAP_D2SUPPORT ),
942
  .PM_CAP_ID ( PM_CAP_ID ),
943
  .PM_CAP_NEXTPTR ( PM_CAP_NEXTPTR ),
944
  .PM_CAP_ON ( PM_CAP_ON ),
945
  .PM_CAP_PME_CLOCK ( PM_CAP_PME_CLOCK ),
946
  .PM_CAP_PMESUPPORT ( PM_CAP_PMESUPPORT ),
947
  .PM_CAP_RSVD_04 ( PM_CAP_RSVD_04 ),
948
  .PM_CAP_VERSION ( PM_CAP_VERSION ),
949
  .PM_CSR_BPCCEN ( PM_CSR_BPCCEN ),
950
  .PM_CSR_B2B3 ( PM_CSR_B2B3 ),
951
  .PM_CSR_NOSOFTRST ( PM_CSR_NOSOFTRST ),
952
  .PM_DATA_SCALE0 ( PM_DATA_SCALE0 ),
953
  .PM_DATA_SCALE1 ( PM_DATA_SCALE1 ),
954
  .PM_DATA_SCALE2 ( PM_DATA_SCALE2 ),
955
  .PM_DATA_SCALE3 ( PM_DATA_SCALE3 ),
956
  .PM_DATA_SCALE4 ( PM_DATA_SCALE4 ),
957
  .PM_DATA_SCALE5 ( PM_DATA_SCALE5 ),
958
  .PM_DATA_SCALE6 ( PM_DATA_SCALE6 ),
959
  .PM_DATA_SCALE7 ( PM_DATA_SCALE7 ),
960
  .PM_DATA0 ( PM_DATA0 ),
961
  .PM_DATA1 ( PM_DATA1 ),
962
  .PM_DATA2 ( PM_DATA2 ),
963
  .PM_DATA3 ( PM_DATA3 ),
964
  .PM_DATA4 ( PM_DATA4 ),
965
  .PM_DATA5 ( PM_DATA5 ),
966
  .PM_DATA6 ( PM_DATA6 ),
967
  .PM_DATA7 ( PM_DATA7 ),
968
  .RECRC_CHK ( RECRC_CHK ),
969
  .RECRC_CHK_TRIM ( RECRC_CHK_TRIM ),
970
  .REVISION_ID ( REVISION_ID ),
971
  .ROOT_CAP_CRS_SW_VISIBILITY ( ROOT_CAP_CRS_SW_VISIBILITY ),
972
  .SELECT_DLL_IF ( SELECT_DLL_IF ),
973
  .SLOT_CAP_ATT_BUTTON_PRESENT ( SLOT_CAP_ATT_BUTTON_PRESENT ),
974
  .SLOT_CAP_ATT_INDICATOR_PRESENT ( SLOT_CAP_ATT_INDICATOR_PRESENT ),
975
  .SLOT_CAP_ELEC_INTERLOCK_PRESENT ( SLOT_CAP_ELEC_INTERLOCK_PRESENT ),
976
  .SLOT_CAP_HOTPLUG_CAPABLE ( SLOT_CAP_HOTPLUG_CAPABLE ),
977
  .SLOT_CAP_HOTPLUG_SURPRISE ( SLOT_CAP_HOTPLUG_SURPRISE ),
978
  .SLOT_CAP_MRL_SENSOR_PRESENT ( SLOT_CAP_MRL_SENSOR_PRESENT ),
979
  .SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ( SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ),
980
  .SLOT_CAP_PHYSICAL_SLOT_NUM ( SLOT_CAP_PHYSICAL_SLOT_NUM ),
981
  .SLOT_CAP_POWER_CONTROLLER_PRESENT ( SLOT_CAP_POWER_CONTROLLER_PRESENT ),
982
  .SLOT_CAP_POWER_INDICATOR_PRESENT ( SLOT_CAP_POWER_INDICATOR_PRESENT ),
983
  .SLOT_CAP_SLOT_POWER_LIMIT_SCALE ( SLOT_CAP_SLOT_POWER_LIMIT_SCALE ),
984
  .SLOT_CAP_SLOT_POWER_LIMIT_VALUE ( SLOT_CAP_SLOT_POWER_LIMIT_VALUE ),
985
  .SPARE_BIT0 ( SPARE_BIT0 ),
986
  .SPARE_BIT1 ( SPARE_BIT1 ),
987
  .SPARE_BIT2 ( SPARE_BIT2 ),
988
  .SPARE_BIT3 ( SPARE_BIT3 ),
989
  .SPARE_BIT4 ( SPARE_BIT4 ),
990
  .SPARE_BIT5 ( SPARE_BIT5 ),
991
  .SPARE_BIT6 ( SPARE_BIT6 ),
992
  .SPARE_BIT7 ( SPARE_BIT7 ),
993
  .SPARE_BIT8 ( SPARE_BIT8 ),
994
  .SPARE_BYTE0 ( SPARE_BYTE0 ),
995
  .SPARE_BYTE1 ( SPARE_BYTE1 ),
996
  .SPARE_BYTE2 ( SPARE_BYTE2 ),
997
  .SPARE_BYTE3 ( SPARE_BYTE3 ),
998
  .SPARE_WORD0 ( SPARE_WORD0 ),
999
  .SPARE_WORD1 ( SPARE_WORD1 ),
1000
  .SPARE_WORD2 ( SPARE_WORD2 ),
1001
  .SPARE_WORD3 ( SPARE_WORD3 ),
1002
  .SUBSYSTEM_ID ( SUBSYSTEM_ID ),
1003
  .SUBSYSTEM_VENDOR_ID ( SUBSYSTEM_VENDOR_ID ),
1004
  .TL_RBYPASS ( TL_RBYPASS ),
1005
  .TL_RX_RAM_RADDR_LATENCY ( TL_RX_RAM_RADDR_LATENCY ),
1006
  .TL_RX_RAM_RDATA_LATENCY ( TL_RX_RAM_RDATA_LATENCY ),
1007
  .TL_RX_RAM_WRITE_LATENCY ( TL_RX_RAM_WRITE_LATENCY ),
1008
  .TL_TFC_DISABLE ( TL_TFC_DISABLE ),
1009
  .TL_TX_CHECKS_DISABLE ( TL_TX_CHECKS_DISABLE ),
1010
  .TL_TX_RAM_RADDR_LATENCY ( TL_TX_RAM_RADDR_LATENCY ),
1011
  .TL_TX_RAM_RDATA_LATENCY ( TL_TX_RAM_RDATA_LATENCY ),
1012
  .TL_TX_RAM_WRITE_LATENCY ( TL_TX_RAM_WRITE_LATENCY ),
1013
  .UPCONFIG_CAPABLE ( UPCONFIG_CAPABLE ),
1014
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
1015
  .EXIT_LOOPBACK_ON_EI ( EXIT_LOOPBACK_ON_EI ),
1016
  .UR_INV_REQ ( UR_INV_REQ ),
1017
  .USER_CLK_FREQ ( USER_CLK_FREQ ),
1018
  .VC_BASE_PTR ( VC_BASE_PTR ),
1019
  .VC_CAP_ID ( VC_CAP_ID ),
1020
  .VC_CAP_NEXTPTR ( VC_CAP_NEXTPTR ),
1021
  .VC_CAP_ON ( VC_CAP_ON ),
1022
  .VC_CAP_REJECT_SNOOP_TRANSACTIONS ( VC_CAP_REJECT_SNOOP_TRANSACTIONS ),
1023
  .VC_CAP_VERSION ( VC_CAP_VERSION ),
1024
  .VC0_CPL_INFINITE ( VC0_CPL_INFINITE ),
1025
  .VC0_RX_RAM_LIMIT ( VC0_RX_RAM_LIMIT ),
1026
  .VC0_TOTAL_CREDITS_CD ( VC0_TOTAL_CREDITS_CD ),
1027
  .VC0_TOTAL_CREDITS_CH ( VC0_TOTAL_CREDITS_CH ),
1028
  .VC0_TOTAL_CREDITS_NPH ( VC0_TOTAL_CREDITS_NPH ),
1029
  .VC0_TOTAL_CREDITS_PD ( VC0_TOTAL_CREDITS_PD ),
1030
  .VC0_TOTAL_CREDITS_PH ( VC0_TOTAL_CREDITS_PH ),
1031
  .VC0_TX_LASTPACKET ( VC0_TX_LASTPACKET ),
1032
  .VENDOR_ID ( VENDOR_ID ),
1033
  .VSEC_BASE_PTR ( VSEC_BASE_PTR ),
1034
  .VSEC_CAP_HDR_ID ( VSEC_CAP_HDR_ID ),
1035
  .VSEC_CAP_HDR_LENGTH ( VSEC_CAP_HDR_LENGTH ),
1036
  .VSEC_CAP_HDR_REVISION ( VSEC_CAP_HDR_REVISION ),
1037
  .VSEC_CAP_ID ( VSEC_CAP_ID ),
1038
  .VSEC_CAP_IS_LINK_VISIBLE ( VSEC_CAP_IS_LINK_VISIBLE ),
1039
  .VSEC_CAP_NEXTPTR ( VSEC_CAP_NEXTPTR ),
1040
  .VSEC_CAP_ON ( VSEC_CAP_ON ),
1041
  .VSEC_CAP_VERSION ( VSEC_CAP_VERSION )
1042
 
1043
)
1044
pcie_block_i (
1045
 
1046
  .CFGAERECRCCHECKEN ( CFGAERECRCCHECKEN ),
1047
  .CFGAERECRCGENEN ( CFGAERECRCGENEN ),
1048
  .CFGCOMMANDBUSMASTERENABLE ( CFGCOMMANDBUSMASTERENABLE ),
1049
  .CFGCOMMANDINTERRUPTDISABLE ( CFGCOMMANDINTERRUPTDISABLE ),
1050
  .CFGCOMMANDIOENABLE ( CFGCOMMANDIOENABLE ),
1051
  .CFGCOMMANDMEMENABLE ( CFGCOMMANDMEMENABLE ),
1052
  .CFGCOMMANDSERREN ( CFGCOMMANDSERREN ),
1053
  .CFGDEVCONTROLAUXPOWEREN ( CFGDEVCONTROLAUXPOWEREN ),
1054
  .CFGDEVCONTROLCORRERRREPORTINGEN ( CFGDEVCONTROLCORRERRREPORTINGEN ),
1055
  .CFGDEVCONTROLENABLERO ( CFGDEVCONTROLENABLERO ),
1056
  .CFGDEVCONTROLEXTTAGEN ( CFGDEVCONTROLEXTTAGEN ),
1057
  .CFGDEVCONTROLFATALERRREPORTINGEN ( CFGDEVCONTROLFATALERRREPORTINGEN ),
1058
  .CFGDEVCONTROLMAXPAYLOAD ( CFGDEVCONTROLMAXPAYLOAD ),
1059
  .CFGDEVCONTROLMAXREADREQ ( CFGDEVCONTROLMAXREADREQ ),
1060
  .CFGDEVCONTROLNONFATALREPORTINGEN ( CFGDEVCONTROLNONFATALREPORTINGEN ),
1061
  .CFGDEVCONTROLNOSNOOPEN ( CFGDEVCONTROLNOSNOOPEN ),
1062
  .CFGDEVCONTROLPHANTOMEN ( CFGDEVCONTROLPHANTOMEN ),
1063
  .CFGDEVCONTROLURERRREPORTINGEN ( CFGDEVCONTROLURERRREPORTINGEN ),
1064
  .CFGDEVCONTROL2CPLTIMEOUTDIS ( CFGDEVCONTROL2CPLTIMEOUTDIS ),
1065
  .CFGDEVCONTROL2CPLTIMEOUTVAL ( CFGDEVCONTROL2CPLTIMEOUTVAL ),
1066
  .CFGDEVSTATUSCORRERRDETECTED ( CFGDEVSTATUSCORRERRDETECTED ),
1067
  .CFGDEVSTATUSFATALERRDETECTED ( CFGDEVSTATUSFATALERRDETECTED ),
1068
  .CFGDEVSTATUSNONFATALERRDETECTED ( CFGDEVSTATUSNONFATALERRDETECTED ),
1069
  .CFGDEVSTATUSURDETECTED ( CFGDEVSTATUSURDETECTED ),
1070
  .CFGDO ( CFGDO ),
1071
  .CFGERRAERHEADERLOGSETN ( CFGERRAERHEADERLOGSETN ),
1072
 
1073
  .CFGERRCPLRDYN ( CFGERRCPLRDYN ),
1074
  .CFGINTERRUPTDO ( CFGINTERRUPTDO ),
1075
  .CFGINTERRUPTMMENABLE ( CFGINTERRUPTMMENABLE ),
1076
  .CFGINTERRUPTMSIENABLE ( CFGINTERRUPTMSIENABLE ),
1077
  .CFGINTERRUPTMSIXENABLE ( CFGINTERRUPTMSIXENABLE ),
1078
  .CFGINTERRUPTMSIXFM ( CFGINTERRUPTMSIXFM ),
1079
  .CFGINTERRUPTRDYN ( CFGINTERRUPTRDYN ),
1080
  .CFGLINKCONTROLRCB ( CFGLINKCONTROLRCB ),
1081
  .CFGLINKCONTROLASPMCONTROL ( CFGLINKCONTROLASPMCONTROL ),
1082
  .CFGLINKCONTROLAUTOBANDWIDTHINTEN ( CFGLINKCONTROLAUTOBANDWIDTHINTEN ),
1083
  .CFGLINKCONTROLBANDWIDTHINTEN ( CFGLINKCONTROLBANDWIDTHINTEN ),
1084
  .CFGLINKCONTROLCLOCKPMEN ( CFGLINKCONTROLCLOCKPMEN ),
1085
  .CFGLINKCONTROLCOMMONCLOCK ( CFGLINKCONTROLCOMMONCLOCK ),
1086
  .CFGLINKCONTROLEXTENDEDSYNC ( CFGLINKCONTROLEXTENDEDSYNC ),
1087
  .CFGLINKCONTROLHWAUTOWIDTHDIS ( CFGLINKCONTROLHWAUTOWIDTHDIS ),
1088
  .CFGLINKCONTROLLINKDISABLE ( CFGLINKCONTROLLINKDISABLE ),
1089
  .CFGLINKCONTROLRETRAINLINK ( CFGLINKCONTROLRETRAINLINK ),
1090
  .CFGLINKSTATUSAUTOBANDWIDTHSTATUS ( CFGLINKSTATUSAUTOBANDWIDTHSTATUS ),
1091
  .CFGLINKSTATUSBANDWITHSTATUS ( CFGLINKSTATUSBANDWITHSTATUS ),
1092
  .CFGLINKSTATUSCURRENTSPEED ( CFGLINKSTATUSCURRENTSPEED ),
1093
  .CFGLINKSTATUSDLLACTIVE ( CFGLINKSTATUSDLLACTIVE ),
1094
  .CFGLINKSTATUSLINKTRAINING ( CFGLINKSTATUSLINKTRAINING ),
1095
  .CFGLINKSTATUSNEGOTIATEDWIDTH ( CFGLINKSTATUSNEGOTIATEDWIDTH ),
1096
  .CFGMSGDATA ( CFGMSGDATA ),
1097
  .CFGMSGRECEIVED ( CFGMSGRECEIVED ),
1098
 
1099
  .CFGMSGRECEIVEDASSERTINTA ( CFGMSGRECEIVEDASSERTINTA ),
1100
  .CFGMSGRECEIVEDASSERTINTB ( CFGMSGRECEIVEDASSERTINTB ),
1101
  .CFGMSGRECEIVEDASSERTINTC ( CFGMSGRECEIVEDASSERTINTC ),
1102
  .CFGMSGRECEIVEDASSERTINTD ( CFGMSGRECEIVEDASSERTINTD ),
1103
  .CFGMSGRECEIVEDDEASSERTINTA ( CFGMSGRECEIVEDDEASSERTINTA ),
1104
  .CFGMSGRECEIVEDDEASSERTINTB ( CFGMSGRECEIVEDDEASSERTINTB ),
1105
  .CFGMSGRECEIVEDDEASSERTINTC ( CFGMSGRECEIVEDDEASSERTINTC ),
1106
  .CFGMSGRECEIVEDDEASSERTINTD ( CFGMSGRECEIVEDDEASSERTINTD ),
1107
  .CFGMSGRECEIVEDERRCOR ( CFGMSGRECEIVEDERRCOR ),
1108
  .CFGMSGRECEIVEDERRFATAL ( CFGMSGRECEIVEDERRFATAL ),
1109
  .CFGMSGRECEIVEDERRNONFATAL ( CFGMSGRECEIVEDERRNONFATAL ),
1110
 
1111
  .CFGMSGRECEIVEDPMASNAK ( CFGMSGRECEIVEDPMASNAK ),
1112
  .CFGMSGRECEIVEDPMETO ( CFGMSGRECEIVEDPMETO ),
1113
 
1114
 
1115
  .CFGMSGRECEIVEDPMETOACK ( CFGMSGRECEIVEDPMETOACK ),
1116
  .CFGMSGRECEIVEDPMPME ( CFGMSGRECEIVEDPMPME ),
1117
 
1118
 
1119
  .CFGMSGRECEIVEDSETSLOTPOWERLIMIT ( CFGMSGRECEIVEDSETSLOTPOWERLIMIT ),
1120
  .CFGMSGRECEIVEDUNLOCK ( CFGMSGRECEIVEDUNLOCK ),
1121
  .CFGPCIELINKSTATE ( CFGPCIELINKSTATE ),
1122
 
1123
 
1124
  .CFGPMRCVASREQL1N ( CFGPMRCVASREQL1N ),
1125
  .CFGPMRCVENTERL1N ( CFGPMRCVENTERL1N ),
1126
  .CFGPMRCVENTERL23N ( CFGPMRCVENTERL23N ),
1127
 
1128
  .CFGPMRCVREQACKN ( CFGPMRCVREQACKN ),
1129
  .CFGPMCSRPMEEN( CFGPMCSRPMEEN ),
1130
  .CFGPMCSRPMESTATUS( CFGPMCSRPMESTATUS ),
1131
  .CFGPMCSRPOWERSTATE( CFGPMCSRPOWERSTATE ),
1132
  .CFGRDWRDONEN ( CFGRDWRDONEN ),
1133
 
1134
  .CFGSLOTCONTROLELECTROMECHILCTLPULSE ( CFGSLOTCONTROLELECTROMECHILCTLPULSE ),
1135
 
1136
  .CFGTRANSACTION ( CFGTRANSACTION ),
1137
  .CFGTRANSACTIONADDR ( CFGTRANSACTIONADDR ),
1138
  .CFGTRANSACTIONTYPE ( CFGTRANSACTIONTYPE ),
1139
 
1140
  .CFGVCTCVCMAP ( CFGVCTCVCMAP ),
1141
  .DBGSCLRA ( DBGSCLRA ),
1142
  .DBGSCLRB ( DBGSCLRB ),
1143
  .DBGSCLRC ( DBGSCLRC ),
1144
  .DBGSCLRD ( DBGSCLRD ),
1145
  .DBGSCLRE ( DBGSCLRE ),
1146
  .DBGSCLRF ( DBGSCLRF ),
1147
  .DBGSCLRG ( DBGSCLRG ),
1148
  .DBGSCLRH ( DBGSCLRH ),
1149
  .DBGSCLRI ( DBGSCLRI ),
1150
  .DBGSCLRJ ( DBGSCLRJ ),
1151
  .DBGSCLRK ( DBGSCLRK ),
1152
  .DBGVECA ( DBGVECA ),
1153
  .DBGVECB ( DBGVECB ),
1154
  .DBGVECC ( DBGVECC ),
1155
  .DRPDO ( DRPDO ),
1156
  .DRPDRDY ( DRPDRDY ),
1157
  .LL2BADDLLPERRN ( LL2BADDLLPERRN ),
1158
  .LL2BADTLPERRN ( LL2BADTLPERRN ),
1159
  .LL2PROTOCOLERRN ( LL2PROTOCOLERRN ),
1160
  .LL2REPLAYROERRN ( LL2REPLAYROERRN ),
1161
  .LL2REPLAYTOERRN ( LL2REPLAYTOERRN ),
1162
  .LL2SUSPENDOKN ( LL2SUSPENDOKN ),
1163
  .LL2TFCINIT1SEQN ( LL2TFCINIT1SEQN ),
1164
  .LL2TFCINIT2SEQN ( LL2TFCINIT2SEQN ),
1165
  .MIMRXRADDR ( MIMRXRADDR ),
1166
  .MIMRXRCE ( MIMRXRCE ),
1167
  .MIMRXREN ( MIMRXREN ),
1168
  .MIMRXWADDR ( MIMRXWADDR ),
1169
  .MIMRXWDATA ( MIMRXWDATA ),
1170
  .MIMRXWEN ( MIMRXWEN ),
1171
  .MIMTXRADDR ( MIMTXRADDR ),
1172
  .MIMTXRCE ( MIMTXRCE ),
1173
  .MIMTXREN ( MIMTXREN ),
1174
  .MIMTXWADDR ( MIMTXWADDR ),
1175
  .MIMTXWDATA ( MIMTXWDATA ),
1176
  .MIMTXWEN ( MIMTXWEN ),
1177
  .PIPERX0POLARITY ( PIPERX0POLARITY ),
1178
  .PIPERX1POLARITY ( PIPERX1POLARITY ),
1179
  .PIPERX2POLARITY ( PIPERX2POLARITY ),
1180
  .PIPERX3POLARITY ( PIPERX3POLARITY ),
1181
  .PIPERX4POLARITY ( PIPERX4POLARITY ),
1182
  .PIPERX5POLARITY ( PIPERX5POLARITY ),
1183
  .PIPERX6POLARITY ( PIPERX6POLARITY ),
1184
  .PIPERX7POLARITY ( PIPERX7POLARITY ),
1185
  .PIPETXDEEMPH ( PIPETXDEEMPH ),
1186
  .PIPETXMARGIN ( PIPETXMARGIN ),
1187
  .PIPETXRATE ( PIPETXRATE ),
1188
  .PIPETXRCVRDET ( PIPETXRCVRDET ),
1189
  .PIPETXRESET ( PIPETXRESET ),
1190
  .PIPETX0CHARISK ( PIPETX0CHARISK ),
1191
  .PIPETX0COMPLIANCE ( PIPETX0COMPLIANCE ),
1192
  .PIPETX0DATA ( PIPETX0DATA ),
1193
  .PIPETX0ELECIDLE ( PIPETX0ELECIDLE ),
1194
  .PIPETX0POWERDOWN ( PIPETX0POWERDOWN ),
1195
  .PIPETX1CHARISK ( PIPETX1CHARISK ),
1196
  .PIPETX1COMPLIANCE ( PIPETX1COMPLIANCE ),
1197
  .PIPETX1DATA ( PIPETX1DATA ),
1198
  .PIPETX1ELECIDLE ( PIPETX1ELECIDLE ),
1199
  .PIPETX1POWERDOWN ( PIPETX1POWERDOWN ),
1200
  .PIPETX2CHARISK ( PIPETX2CHARISK ),
1201
  .PIPETX2COMPLIANCE ( PIPETX2COMPLIANCE ),
1202
  .PIPETX2DATA ( PIPETX2DATA ),
1203
  .PIPETX2ELECIDLE ( PIPETX2ELECIDLE ),
1204
  .PIPETX2POWERDOWN ( PIPETX2POWERDOWN ),
1205
  .PIPETX3CHARISK ( PIPETX3CHARISK ),
1206
  .PIPETX3COMPLIANCE ( PIPETX3COMPLIANCE ),
1207
  .PIPETX3DATA ( PIPETX3DATA ),
1208
  .PIPETX3ELECIDLE ( PIPETX3ELECIDLE ),
1209
  .PIPETX3POWERDOWN ( PIPETX3POWERDOWN ),
1210
  .PIPETX4CHARISK ( PIPETX4CHARISK ),
1211
  .PIPETX4COMPLIANCE ( PIPETX4COMPLIANCE ),
1212
  .PIPETX4DATA ( PIPETX4DATA ),
1213
  .PIPETX4ELECIDLE ( PIPETX4ELECIDLE ),
1214
  .PIPETX4POWERDOWN ( PIPETX4POWERDOWN ),
1215
  .PIPETX5CHARISK ( PIPETX5CHARISK ),
1216
  .PIPETX5COMPLIANCE ( PIPETX5COMPLIANCE ),
1217
  .PIPETX5DATA ( PIPETX5DATA ),
1218
  .PIPETX5ELECIDLE ( PIPETX5ELECIDLE ),
1219
  .PIPETX5POWERDOWN ( PIPETX5POWERDOWN ),
1220
  .PIPETX6CHARISK ( PIPETX6CHARISK ),
1221
  .PIPETX6COMPLIANCE ( PIPETX6COMPLIANCE ),
1222
  .PIPETX6DATA ( PIPETX6DATA ),
1223
  .PIPETX6ELECIDLE ( PIPETX6ELECIDLE ),
1224
  .PIPETX6POWERDOWN ( PIPETX6POWERDOWN ),
1225
  .PIPETX7CHARISK ( PIPETX7CHARISK ),
1226
  .PIPETX7COMPLIANCE ( PIPETX7COMPLIANCE ),
1227
  .PIPETX7DATA ( PIPETX7DATA ),
1228
  .PIPETX7ELECIDLE ( PIPETX7ELECIDLE ),
1229
  .PIPETX7POWERDOWN ( PIPETX7POWERDOWN ),
1230
  .PLDBGVEC ( PLDBGVEC ),
1231
  .PLINITIALLINKWIDTH ( PLINITIALLINKWIDTH ),
1232
  .PLLANEREVERSALMODE ( PLLANEREVERSALMODE ),
1233
  .PLLINKGEN2CAP ( PLLINKGEN2CAP ),
1234
  .PLLINKPARTNERGEN2SUPPORTED ( PLLINKPARTNERGEN2SUPPORTED ),
1235
  .PLLINKUPCFGCAP ( PLLINKUPCFGCAP ),
1236
  .PLLTSSMSTATE ( PLLTSSMSTATE ),
1237
  .PLPHYLNKUPN ( PLPHYLNKUPN ),
1238
  .PLRECEIVEDHOTRST ( PLRECEIVEDHOTRST ),
1239
  .PLRXPMSTATE ( PLRXPMSTATE ),
1240
  .PLSELLNKRATE ( PLSELLNKRATE ),
1241
  .PLSELLNKWIDTH ( PLSELLNKWIDTH ),
1242
  .PLTXPMSTATE ( PLTXPMSTATE ),
1243
  .PL2LINKUPN ( PL2LINKUPN ),
1244
  .PL2RECEIVERERRN ( PL2RECEIVERERRN ),
1245
  .PL2RECOVERYN ( PL2RECOVERYN ),
1246
  .PL2RXELECIDLE ( PL2RXELECIDLE ),
1247
  .PL2SUSPENDOK ( PL2SUSPENDOK ),
1248
  .RECEIVEDFUNCLVLRSTN ( RECEIVEDFUNCLVLRSTN ),
1249
  .LNKCLKEN ( LNKCLKEN ),
1250
  .TL2ASPMSUSPENDCREDITCHECKOKN ( TL2ASPMSUSPENDCREDITCHECKOKN ),
1251
  .TL2ASPMSUSPENDREQN ( TL2ASPMSUSPENDREQN ),
1252
  .TL2PPMSUSPENDOKN ( TL2PPMSUSPENDOKN ),
1253
  .TRNFCCPLD ( TRNFCCPLD ),
1254
  .TRNFCCPLH ( TRNFCCPLH ),
1255
  .TRNFCNPD ( TRNFCNPD ),
1256
  .TRNFCNPH ( TRNFCNPH ),
1257
  .TRNFCPD ( TRNFCPD ),
1258
  .TRNFCPH ( TRNFCPH ),
1259
  .TRNLNKUPN ( TRNLNKUPN ),
1260
  .TRNRBARHITN ( TRNRBARHITN ),
1261
  .TRNRD ( TRNRD ),
1262
 
1263
  .TRNRDLLPDATA ( ),
1264
  .TRNRDLLPSRCRDYN ( TRNRDLLPSRCRDYN ),
1265
  .TRNRECRCERRN ( TRNRECRCERRN ),
1266
  .TRNREOFN ( TRNREOFN ),
1267
  .TRNRERRFWDN ( TRNRERRFWDN ),
1268
  .TRNRREMN ( TRNRREMN ),
1269
  .TRNRSOFN ( TRNRSOFN ),
1270
  .TRNRSRCDSCN ( TRNRSRCDSCN ),
1271
  .TRNRSRCRDYN ( TRNRSRCRDYN ),
1272
  .TRNTBUFAV ( TRNTBUFAV ),
1273
  .TRNTCFGREQN ( TRNTCFGREQN ),
1274
  .TRNTDLLPDSTRDYN ( TRNTDLLPDSTRDYN ),
1275
  .TRNTDSTRDYN ( TRNTDSTRDYN ),
1276
  .TRNTERRDROPN ( TRNTERRDROPN ),
1277
  .USERRSTN ( USERRSTN ),
1278
  .CFGBYTEENN ( CFGBYTEENN ),
1279
  .CFGDI ( CFGDI ),
1280
  .CFGDSBUSNUMBER ( CFGDSBUSNUMBER ),
1281
  .CFGDSDEVICENUMBER ( CFGDSDEVICENUMBER ),
1282
  .CFGDSFUNCTIONNUMBER ( CFGDSFUNCTIONNUMBER ),
1283
  .CFGDSN ( CFGDSN ),
1284
  .CFGDWADDR ( CFGDWADDR ),
1285
  .CFGERRACSN ( CFGERRACSN ),
1286
  .CFGERRAERHEADERLOG ( CFGERRAERHEADERLOG ),
1287
  .CFGERRCORN ( CFGERRCORN ),
1288
  .CFGERRCPLABORTN ( CFGERRCPLABORTN ),
1289
  .CFGERRCPLTIMEOUTN ( CFGERRCPLTIMEOUTN ),
1290
  .CFGERRCPLUNEXPECTN ( CFGERRCPLUNEXPECTN ),
1291
  .CFGERRECRCN ( CFGERRECRCN ),
1292
  .CFGERRLOCKEDN ( CFGERRLOCKEDN ),
1293
  .CFGERRPOSTEDN ( CFGERRPOSTEDN ),
1294
  .CFGERRTLPCPLHEADER ( CFGERRTLPCPLHEADER ),
1295
  .CFGERRURN ( CFGERRURN ),
1296
  .CFGINTERRUPTASSERTN ( CFGINTERRUPTASSERTN ),
1297
  .CFGINTERRUPTDI ( CFGINTERRUPTDI ),
1298
  .CFGINTERRUPTN ( CFGINTERRUPTN ),
1299
  .CFGPMDIRECTASPML1N ( CFGPMDIRECTASPML1N ),
1300
  .CFGPMSENDPMACKN ( CFGPMSENDPMACKN ),
1301
  .CFGPMSENDPMETON ( CFGPMSENDPMETON ),
1302
  .CFGPMSENDPMNAKN ( CFGPMSENDPMNAKN ),
1303
  .CFGPMTURNOFFOKN ( CFGPMTURNOFFOKN ),
1304
  .CFGPMWAKEN ( CFGPMWAKEN ),
1305
  .CFGPORTNUMBER ( CFGPORTNUMBER ),
1306
  .CFGRDENN ( CFGRDENN ),
1307
  .CFGTRNPENDINGN ( CFGTRNPENDINGN ),
1308
  .CFGWRENN ( CFGWRENN ),
1309
  .CFGWRREADONLYN ( CFGWRREADONLYN ),
1310
  .CFGWRRW1CASRWN ( CFGWRRW1CASRWN ),
1311
  .CMRSTN ( CMRSTN ),
1312
  .CMSTICKYRSTN ( CMSTICKYRSTN ),
1313
  .DBGMODE ( DBGMODE ),
1314
  .DBGSUBMODE ( DBGSUBMODE ),
1315
  .DLRSTN ( DLRSTN ),
1316
  .DRPCLK ( DRPCLK ),
1317
  .DRPDADDR ( DRPDADDR ),
1318
  .DRPDEN ( DRPDEN ),
1319
  .DRPDI ( DRPDI ),
1320
  .DRPDWE ( DRPDWE ),
1321
  .FUNCLVLRSTN ( FUNCLVLRSTN ),
1322
  .LL2SENDASREQL1N ( LL2SENDASREQL1N ),
1323
  .LL2SENDENTERL1N ( LL2SENDENTERL1N ),
1324
  .LL2SENDENTERL23N ( LL2SENDENTERL23N ),
1325
  .LL2SUSPENDNOWN ( LL2SUSPENDNOWN ),
1326
  .LL2TLPRCVN ( LL2TLPRCVN ),
1327
  .MIMRXRDATA ( MIMRXRDATA ),
1328
  .MIMTXRDATA ( MIMTXRDATA ),
1329
  .PIPECLK ( PIPECLK ),
1330
  .PIPERX0CHANISALIGNED ( PIPERX0CHANISALIGNED ),
1331
  .PIPERX0CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX0CHARISK ),
1332
  .PIPERX0DATA ( PIPERX0DATA ),
1333
  .PIPERX0ELECIDLE ( PIPERX0ELECIDLE ),
1334
  .PIPERX0PHYSTATUS ( PIPERX0PHYSTATUS ),
1335
  .PIPERX0STATUS ( PIPERX0STATUS ),
1336
  .PIPERX0VALID ( PIPERX0VALID ),
1337
  .PIPERX1CHANISALIGNED ( PIPERX1CHANISALIGNED ),
1338
  .PIPERX1CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX1CHARISK ),
1339
  .PIPERX1DATA ( PIPERX1DATA ),
1340
  .PIPERX1ELECIDLE ( PIPERX1ELECIDLE ),
1341
  .PIPERX1PHYSTATUS ( PIPERX1PHYSTATUS ),
1342
  .PIPERX1STATUS ( PIPERX1STATUS ),
1343
  .PIPERX1VALID ( PIPERX1VALID ),
1344
  .PIPERX2CHANISALIGNED ( PIPERX2CHANISALIGNED ),
1345
  .PIPERX2CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX2CHARISK ),
1346
  .PIPERX2DATA ( PIPERX2DATA ),
1347
  .PIPERX2ELECIDLE ( PIPERX2ELECIDLE ),
1348
  .PIPERX2PHYSTATUS ( PIPERX2PHYSTATUS ),
1349
  .PIPERX2STATUS ( PIPERX2STATUS ),
1350
  .PIPERX2VALID ( PIPERX2VALID ),
1351
  .PIPERX3CHANISALIGNED ( PIPERX3CHANISALIGNED ),
1352
  .PIPERX3CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX3CHARISK ),
1353
  .PIPERX3DATA ( PIPERX3DATA ),
1354
  .PIPERX3ELECIDLE ( PIPERX3ELECIDLE ),
1355
  .PIPERX3PHYSTATUS ( PIPERX3PHYSTATUS ),
1356
  .PIPERX3STATUS ( PIPERX3STATUS ),
1357
  .PIPERX3VALID ( PIPERX3VALID ),
1358
  .PIPERX4CHANISALIGNED ( PIPERX4CHANISALIGNED ),
1359
  .PIPERX4CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX4CHARISK ),
1360
  .PIPERX4DATA ( PIPERX4DATA ),
1361
  .PIPERX4ELECIDLE ( PIPERX4ELECIDLE ),
1362
  .PIPERX4PHYSTATUS ( PIPERX4PHYSTATUS ),
1363
  .PIPERX4STATUS ( PIPERX4STATUS ),
1364
  .PIPERX4VALID ( PIPERX4VALID ),
1365
  .PIPERX5CHANISALIGNED ( PIPERX5CHANISALIGNED ),
1366
  .PIPERX5CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX5CHARISK ),
1367
  .PIPERX5DATA ( PIPERX5DATA ),
1368
  .PIPERX5ELECIDLE ( PIPERX5ELECIDLE ),
1369
  .PIPERX5PHYSTATUS ( PIPERX5PHYSTATUS ),
1370
  .PIPERX5STATUS ( PIPERX5STATUS ),
1371
  .PIPERX5VALID ( PIPERX5VALID ),
1372
  .PIPERX6CHANISALIGNED ( PIPERX6CHANISALIGNED ),
1373
  .PIPERX6CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX6CHARISK ),
1374
  .PIPERX6DATA ( PIPERX6DATA ),
1375
  .PIPERX6ELECIDLE ( PIPERX6ELECIDLE ),
1376
  .PIPERX6PHYSTATUS ( PIPERX6PHYSTATUS ),
1377
  .PIPERX6STATUS ( PIPERX6STATUS ),
1378
  .PIPERX6VALID ( PIPERX6VALID ),
1379
  .PIPERX7CHANISALIGNED ( PIPERX7CHANISALIGNED ),
1380
  .PIPERX7CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX7CHARISK ),
1381
  .PIPERX7DATA ( PIPERX7DATA ),
1382
  .PIPERX7ELECIDLE ( PIPERX7ELECIDLE ),
1383
  .PIPERX7PHYSTATUS ( PIPERX7PHYSTATUS ),
1384
  .PIPERX7STATUS ( PIPERX7STATUS ),
1385
  .PIPERX7VALID ( PIPERX7VALID ),
1386
  .PLDBGMODE ( PLDBGMODE ),
1387
  .PLDIRECTEDLINKAUTON ( PLDIRECTEDLINKAUTON ),
1388
  .PLDIRECTEDLINKCHANGE ( PLDIRECTEDLINKCHANGE ),
1389
  .PLDIRECTEDLINKSPEED ( PLDIRECTEDLINKSPEED ),
1390
  .PLDIRECTEDLINKWIDTH ( PLDIRECTEDLINKWIDTH ),
1391
  .PLDOWNSTREAMDEEMPHSOURCE ( PLDOWNSTREAMDEEMPHSOURCE ),
1392
  .PLRSTN ( PLRSTN ),
1393
  .PLTRANSMITHOTRST ( PLTRANSMITHOTRST ),
1394
  .PLUPSTREAMPREFERDEEMPH ( PLUPSTREAMPREFERDEEMPH ),
1395
  .PL2DIRECTEDLSTATE ( PL2DIRECTEDLSTATE ),
1396
  .SYSRSTN ( SYSRSTN ),
1397
  .TLRSTN ( TLRSTN ),
1398
  .TL2ASPMSUSPENDCREDITCHECKN ( 1'b1),
1399
  .TL2PPMSUSPENDREQN ( 1'b1 ),
1400
  .TRNFCSEL ( TRNFCSEL ),
1401
  .TRNRDSTRDYN ( TRNRDSTRDYN ),
1402
  .TRNRNPOKN ( TRNRNPOKN ),
1403
  .TRNTCFGGNTN ( TRNTCFGGNTN ),
1404
  .TRNTD ( TRNTD ),
1405
  .TRNTDLLPDATA ( TRNTDLLPDATA ),
1406
  .TRNTDLLPSRCRDYN ( TRNTDLLPSRCRDYN ),
1407
  .TRNTECRCGENN ( TRNTECRCGENN ),
1408
  .TRNTEOFN ( TRNTEOFN ),
1409
  .TRNTERRFWDN ( TRNTERRFWDN ),
1410
  .TRNTREMN ( TRNTREMN ),
1411
  .TRNTSOFN ( TRNTSOFN ),
1412
  .TRNTSRCDSCN ( TRNTSRCDSCN ),
1413
  .TRNTSRCRDYN ( TRNTSRCRDYN ),
1414
  .TRNTSTRN ( TRNTSTRN ),
1415
  .USERCLK ( USERCLK )
1416
 
1417
);
1418
 
1419
//-------------------------------------------------------
1420
// Virtex6 PIPE Module
1421
//-------------------------------------------------------
1422
 
1423
pcie_pipe_v6 # (
1424
 
1425
   .NO_OF_LANES(LINK_CAP_MAX_LINK_WIDTH),
1426
   .LINK_CAP_MAX_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
1427
   .PIPE_PIPELINE_STAGES(PIPE_PIPELINE_STAGES)
1428
 
1429
)
1430
pcie_pipe_i (
1431
 
1432
  // Pipe Per-Link Signals 
1433
  .pipe_tx_rcvr_det_i       (PIPETXRCVRDET),
1434
  .pipe_tx_reset_i          (PIPETXRESET),
1435
  .pipe_tx_rate_i           (PIPETXRATE),
1436
  .pipe_tx_deemph_i         (PIPETXDEEMPH),
1437
  .pipe_tx_margin_i         (PIPETXMARGIN),
1438
  .pipe_tx_swing_i          (1'b0),
1439
 
1440
  .pipe_tx_rcvr_det_o       (PIPETXRCVRDETGT),
1441
  .pipe_tx_reset_o          ( ),
1442
  .pipe_tx_rate_o           (PIPETXRATEGT),
1443
  .pipe_tx_deemph_o         (PIPETXDEEMPHGT),
1444
  .pipe_tx_margin_o         (PIPETXMARGINGT),
1445
  .pipe_tx_swing_o          ( ),
1446
 
1447
  // Pipe Per-Lane Signals - Lane 0
1448
  .pipe_rx0_char_is_k_o     (PIPERX0CHARISK         ),
1449
  .pipe_rx0_data_o          (PIPERX0DATA            ),
1450
  .pipe_rx0_valid_o         (PIPERX0VALID           ),
1451
  .pipe_rx0_chanisaligned_o (PIPERX0CHANISALIGNED   ),
1452
  .pipe_rx0_status_o        (PIPERX0STATUS          ),
1453
  .pipe_rx0_phy_status_o    (PIPERX0PHYSTATUS       ),
1454
  .pipe_rx0_elec_idle_i     (PIPERX0ELECIDLEGT      ),
1455
  .pipe_rx0_polarity_i      (PIPERX0POLARITY        ),
1456
  .pipe_tx0_compliance_i    (PIPETX0COMPLIANCE      ),
1457
  .pipe_tx0_char_is_k_i     (PIPETX0CHARISK         ),
1458
  .pipe_tx0_data_i          (PIPETX0DATA            ),
1459
  .pipe_tx0_elec_idle_i     (PIPETX0ELECIDLE        ),
1460
  .pipe_tx0_powerdown_i     (PIPETX0POWERDOWN       ),
1461
 
1462
  .pipe_rx0_char_is_k_i     (PIPERX0CHARISKGT       ),
1463
  .pipe_rx0_data_i          (PIPERX0DATAGT          ),
1464
  .pipe_rx0_valid_i         (PIPERX0VALIDGT         ),
1465
  .pipe_rx0_chanisaligned_i (PIPERX0CHANISALIGNEDGT ),
1466
  .pipe_rx0_status_i        (PIPERX0STATUSGT        ),
1467
  .pipe_rx0_phy_status_i    (PIPERX0PHYSTATUSGT     ),
1468
  .pipe_rx0_elec_idle_o     (PIPERX0ELECIDLE        ),
1469
  .pipe_rx0_polarity_o      (PIPERX0POLARITYGT      ),
1470
  .pipe_tx0_compliance_o    (PIPETX0COMPLIANCEGT    ),
1471
  .pipe_tx0_char_is_k_o     (PIPETX0CHARISKGT       ),
1472
  .pipe_tx0_data_o          (PIPETX0DATAGT          ),
1473
  .pipe_tx0_elec_idle_o     (PIPETX0ELECIDLEGT      ),
1474
  .pipe_tx0_powerdown_o     (PIPETX0POWERDOWNGT     ),
1475
 
1476
  // Pipe Per-Lane Signals - Lane 1
1477
  .pipe_rx1_char_is_k_o     (PIPERX1CHARISK         ),
1478
  .pipe_rx1_data_o          (PIPERX1DATA            ),
1479
  .pipe_rx1_valid_o         (PIPERX1VALID           ),
1480
  .pipe_rx1_chanisaligned_o (PIPERX1CHANISALIGNED   ),
1481
  .pipe_rx1_status_o        (PIPERX1STATUS          ),
1482
  .pipe_rx1_phy_status_o    (PIPERX1PHYSTATUS       ),
1483
  .pipe_rx1_elec_idle_i     (PIPERX1ELECIDLEGT      ),
1484
  .pipe_rx1_polarity_i      (PIPERX1POLARITY        ),
1485
  .pipe_tx1_compliance_i    (PIPETX1COMPLIANCE      ),
1486
  .pipe_tx1_char_is_k_i     (PIPETX1CHARISK         ),
1487
  .pipe_tx1_data_i          (PIPETX1DATA            ),
1488
  .pipe_tx1_elec_idle_i     (PIPETX1ELECIDLE        ),
1489
  .pipe_tx1_powerdown_i     (PIPETX1POWERDOWN       ),
1490
 
1491
  .pipe_rx1_char_is_k_i     (PIPERX1CHARISKGT       ),
1492
  .pipe_rx1_data_i          (PIPERX1DATAGT          ),
1493
  .pipe_rx1_valid_i         (PIPERX1VALIDGT         ),
1494
  .pipe_rx1_chanisaligned_i (PIPERX1CHANISALIGNEDGT ),
1495
  .pipe_rx1_status_i        (PIPERX1STATUSGT        ),
1496
  .pipe_rx1_phy_status_i    (PIPERX1PHYSTATUSGT     ),
1497
  .pipe_rx1_elec_idle_o     (PIPERX1ELECIDLE        ),
1498
  .pipe_rx1_polarity_o      (PIPERX1POLARITYGT      ),
1499
  .pipe_tx1_compliance_o    (PIPETX1COMPLIANCEGT    ),
1500
  .pipe_tx1_char_is_k_o     (PIPETX1CHARISKGT       ),
1501
  .pipe_tx1_data_o          (PIPETX1DATAGT          ),
1502
  .pipe_tx1_elec_idle_o     (PIPETX1ELECIDLEGT      ),
1503
  .pipe_tx1_powerdown_o     (PIPETX1POWERDOWNGT     ),
1504
 
1505
  // Pipe Per-Lane Signals - Lane 2
1506
  .pipe_rx2_char_is_k_o     (PIPERX2CHARISK         ),
1507
  .pipe_rx2_data_o          (PIPERX2DATA            ),
1508
  .pipe_rx2_valid_o         (PIPERX2VALID           ),
1509
  .pipe_rx2_chanisaligned_o (PIPERX2CHANISALIGNED   ),
1510
  .pipe_rx2_status_o        (PIPERX2STATUS          ),
1511
  .pipe_rx2_phy_status_o    (PIPERX2PHYSTATUS       ),
1512
  .pipe_rx2_elec_idle_i     (PIPERX2ELECIDLEGT      ),
1513
  .pipe_rx2_polarity_i      (PIPERX2POLARITY        ),
1514
  .pipe_tx2_compliance_i    (PIPETX2COMPLIANCE      ),
1515
  .pipe_tx2_char_is_k_i     (PIPETX2CHARISK         ),
1516
  .pipe_tx2_data_i          (PIPETX2DATA            ),
1517
  .pipe_tx2_elec_idle_i     (PIPETX2ELECIDLE        ),
1518
  .pipe_tx2_powerdown_i     (PIPETX2POWERDOWN       ),
1519
 
1520
  .pipe_rx2_char_is_k_i     (PIPERX2CHARISKGT       ),
1521
  .pipe_rx2_data_i          (PIPERX2DATAGT          ),
1522
  .pipe_rx2_valid_i         (PIPERX2VALIDGT         ),
1523
  .pipe_rx2_chanisaligned_i (PIPERX2CHANISALIGNEDGT ),
1524
  .pipe_rx2_status_i        (PIPERX2STATUSGT        ),
1525
  .pipe_rx2_phy_status_i    (PIPERX2PHYSTATUSGT     ),
1526
  .pipe_rx2_elec_idle_o     (PIPERX2ELECIDLE        ),
1527
  .pipe_rx2_polarity_o      (PIPERX2POLARITYGT      ),
1528
  .pipe_tx2_compliance_o    (PIPETX2COMPLIANCEGT    ),
1529
  .pipe_tx2_char_is_k_o     (PIPETX2CHARISKGT       ),
1530
  .pipe_tx2_data_o          (PIPETX2DATAGT          ),
1531
  .pipe_tx2_elec_idle_o     (PIPETX2ELECIDLEGT      ),
1532
  .pipe_tx2_powerdown_o     (PIPETX2POWERDOWNGT     ),
1533
 
1534
  // Pipe Per-Lane Signals - Lane 3
1535
  .pipe_rx3_char_is_k_o     (PIPERX3CHARISK         ),
1536
  .pipe_rx3_data_o          (PIPERX3DATA            ),
1537
  .pipe_rx3_valid_o         (PIPERX3VALID           ),
1538
  .pipe_rx3_chanisaligned_o (PIPERX3CHANISALIGNED   ),
1539
  .pipe_rx3_status_o        (PIPERX3STATUS          ),
1540
  .pipe_rx3_phy_status_o    (PIPERX3PHYSTATUS       ),
1541
  .pipe_rx3_elec_idle_i     (PIPERX3ELECIDLEGT      ),
1542
  .pipe_rx3_polarity_i      (PIPERX3POLARITY        ),
1543
  .pipe_tx3_compliance_i    (PIPETX3COMPLIANCE      ),
1544
  .pipe_tx3_char_is_k_i     (PIPETX3CHARISK         ),
1545
  .pipe_tx3_data_i          (PIPETX3DATA            ),
1546
  .pipe_tx3_elec_idle_i     (PIPETX3ELECIDLE        ),
1547
  .pipe_tx3_powerdown_i     (PIPETX3POWERDOWN       ),
1548
 
1549
  .pipe_rx3_char_is_k_i     (PIPERX3CHARISKGT       ),
1550
  .pipe_rx3_data_i          (PIPERX3DATAGT          ),
1551
  .pipe_rx3_valid_i         (PIPERX3VALIDGT         ),
1552
  .pipe_rx3_chanisaligned_i (PIPERX3CHANISALIGNEDGT ),
1553
  .pipe_rx3_status_i        (PIPERX3STATUSGT        ),
1554
  .pipe_rx3_phy_status_i    (PIPERX3PHYSTATUSGT     ),
1555
  .pipe_rx3_elec_idle_o     (PIPERX3ELECIDLE        ),
1556
  .pipe_rx3_polarity_o      (PIPERX3POLARITYGT      ),
1557
  .pipe_tx3_compliance_o    (PIPETX3COMPLIANCEGT    ),
1558
  .pipe_tx3_char_is_k_o     (PIPETX3CHARISKGT       ),
1559
  .pipe_tx3_data_o          (PIPETX3DATAGT          ),
1560
  .pipe_tx3_elec_idle_o     (PIPETX3ELECIDLEGT      ),
1561
  .pipe_tx3_powerdown_o     (PIPETX3POWERDOWNGT     ),
1562
 
1563
   // Pipe Per-Lane Signals - Lane 4
1564
  .pipe_rx4_char_is_k_o     (PIPERX4CHARISK         ),
1565
  .pipe_rx4_data_o          (PIPERX4DATA            ),
1566
  .pipe_rx4_valid_o         (PIPERX4VALID           ),
1567
  .pipe_rx4_chanisaligned_o (PIPERX4CHANISALIGNED   ),
1568
  .pipe_rx4_status_o        (PIPERX4STATUS          ),
1569
  .pipe_rx4_phy_status_o    (PIPERX4PHYSTATUS       ),
1570
  .pipe_rx4_elec_idle_i     (PIPERX4ELECIDLEGT      ),
1571
  .pipe_rx4_polarity_i      (PIPERX4POLARITY        ),
1572
  .pipe_tx4_compliance_i    (PIPETX4COMPLIANCE      ),
1573
  .pipe_tx4_char_is_k_i     (PIPETX4CHARISK         ),
1574
  .pipe_tx4_data_i          (PIPETX4DATA            ),
1575
  .pipe_tx4_elec_idle_i     (PIPETX4ELECIDLE        ),
1576
  .pipe_tx4_powerdown_i     (PIPETX4POWERDOWN       ),
1577
 
1578
  .pipe_rx4_char_is_k_i     (PIPERX4CHARISKGT       ),
1579
  .pipe_rx4_data_i          (PIPERX4DATAGT          ),
1580
  .pipe_rx4_valid_i         (PIPERX4VALIDGT         ),
1581
  .pipe_rx4_chanisaligned_i (PIPERX4CHANISALIGNEDGT ),
1582
  .pipe_rx4_status_i        (PIPERX4STATUSGT        ),
1583
  .pipe_rx4_phy_status_i    (PIPERX4PHYSTATUSGT     ),
1584
  .pipe_rx4_elec_idle_o     (PIPERX4ELECIDLE        ),
1585
  .pipe_rx4_polarity_o      (PIPERX4POLARITYGT      ),
1586
  .pipe_tx4_compliance_o    (PIPETX4COMPLIANCEGT    ),
1587
  .pipe_tx4_char_is_k_o     (PIPETX4CHARISKGT       ),
1588
  .pipe_tx4_data_o          (PIPETX4DATAGT          ),
1589
  .pipe_tx4_elec_idle_o     (PIPETX4ELECIDLEGT      ),
1590
  .pipe_tx4_powerdown_o     (PIPETX4POWERDOWNGT     ),
1591
 
1592
  // Pipe Per-Lane Signals - Lane 5
1593
  .pipe_rx5_char_is_k_o     (PIPERX5CHARISK         ),
1594
  .pipe_rx5_data_o          (PIPERX5DATA            ),
1595
  .pipe_rx5_valid_o         (PIPERX5VALID           ),
1596
  .pipe_rx5_chanisaligned_o (PIPERX5CHANISALIGNED   ),
1597
  .pipe_rx5_status_o        (PIPERX5STATUS          ),
1598
  .pipe_rx5_phy_status_o    (PIPERX5PHYSTATUS       ),
1599
  .pipe_rx5_elec_idle_i     (PIPERX5ELECIDLEGT      ),
1600
  .pipe_rx5_polarity_i      (PIPERX5POLARITY        ),
1601
  .pipe_tx5_compliance_i    (PIPETX5COMPLIANCE      ),
1602
  .pipe_tx5_char_is_k_i     (PIPETX5CHARISK         ),
1603
  .pipe_tx5_data_i          (PIPETX5DATA            ),
1604
  .pipe_tx5_elec_idle_i     (PIPETX5ELECIDLE        ),
1605
  .pipe_tx5_powerdown_i     (PIPETX5POWERDOWN       ),
1606
 
1607
  .pipe_rx5_char_is_k_i     (PIPERX5CHARISKGT       ),
1608
  .pipe_rx5_data_i          (PIPERX5DATAGT          ),
1609
  .pipe_rx5_valid_i         (PIPERX5VALIDGT         ),
1610
  .pipe_rx5_chanisaligned_i (PIPERX5CHANISALIGNEDGT ),
1611
  .pipe_rx5_status_i        (PIPERX5STATUSGT        ),
1612
  .pipe_rx5_phy_status_i    (PIPERX5PHYSTATUSGT     ),
1613
  .pipe_rx5_elec_idle_o     (PIPERX5ELECIDLE        ),
1614
  .pipe_rx5_polarity_o      (PIPERX5POLARITYGT      ),
1615
  .pipe_tx5_compliance_o    (PIPETX5COMPLIANCEGT    ),
1616
  .pipe_tx5_char_is_k_o     (PIPETX5CHARISKGT       ),
1617
  .pipe_tx5_data_o          (PIPETX5DATAGT          ),
1618
  .pipe_tx5_elec_idle_o     (PIPETX5ELECIDLEGT      ),
1619
  .pipe_tx5_powerdown_o     (PIPETX5POWERDOWNGT     ),
1620
 
1621
  // Pipe Per-Lane Signals - Lane 6
1622
  .pipe_rx6_char_is_k_o     (PIPERX6CHARISK         ),
1623
  .pipe_rx6_data_o          (PIPERX6DATA            ),
1624
  .pipe_rx6_valid_o         (PIPERX6VALID           ),
1625
  .pipe_rx6_chanisaligned_o (PIPERX6CHANISALIGNED   ),
1626
  .pipe_rx6_status_o        (PIPERX6STATUS          ),
1627
  .pipe_rx6_phy_status_o    (PIPERX6PHYSTATUS       ),
1628
  .pipe_rx6_elec_idle_i     (PIPERX6ELECIDLEGT      ),
1629
  .pipe_rx6_polarity_i      (PIPERX6POLARITY        ),
1630
  .pipe_tx6_compliance_i    (PIPETX6COMPLIANCE      ),
1631
  .pipe_tx6_char_is_k_i     (PIPETX6CHARISK         ),
1632
  .pipe_tx6_data_i          (PIPETX6DATA            ),
1633
  .pipe_tx6_elec_idle_i     (PIPETX6ELECIDLE        ),
1634
  .pipe_tx6_powerdown_i     (PIPETX6POWERDOWN       ),
1635
 
1636
  .pipe_rx6_char_is_k_i     (PIPERX6CHARISKGT       ),
1637
  .pipe_rx6_data_i          (PIPERX6DATAGT          ),
1638
  .pipe_rx6_valid_i         (PIPERX6VALIDGT         ),
1639
  .pipe_rx6_chanisaligned_i (PIPERX6CHANISALIGNEDGT ),
1640
  .pipe_rx6_status_i        (PIPERX6STATUSGT        ),
1641
  .pipe_rx6_phy_status_i    (PIPERX6PHYSTATUSGT     ),
1642
  .pipe_rx6_elec_idle_o     (PIPERX6ELECIDLE        ),
1643
  .pipe_rx6_polarity_o      (PIPERX6POLARITYGT      ),
1644
  .pipe_tx6_compliance_o    (PIPETX6COMPLIANCEGT    ),
1645
  .pipe_tx6_char_is_k_o     (PIPETX6CHARISKGT       ),
1646
  .pipe_tx6_data_o          (PIPETX6DATAGT          ),
1647
  .pipe_tx6_elec_idle_o     (PIPETX6ELECIDLEGT      ),
1648
  .pipe_tx6_powerdown_o     (PIPETX6POWERDOWNGT     ),
1649
 
1650
  // Pipe Per-Lane Signals - Lane 7
1651
  .pipe_rx7_char_is_k_o     (PIPERX7CHARISK         ),
1652
  .pipe_rx7_data_o          (PIPERX7DATA            ),
1653
  .pipe_rx7_valid_o         (PIPERX7VALID           ),
1654
  .pipe_rx7_chanisaligned_o (PIPERX7CHANISALIGNED   ),
1655
  .pipe_rx7_status_o        (PIPERX7STATUS          ),
1656
  .pipe_rx7_phy_status_o    (PIPERX7PHYSTATUS       ),
1657
  .pipe_rx7_elec_idle_i     (PIPERX7ELECIDLEGT      ),
1658
  .pipe_rx7_polarity_i      (PIPERX7POLARITY        ),
1659
  .pipe_tx7_compliance_i    (PIPETX7COMPLIANCE      ),
1660
  .pipe_tx7_char_is_k_i     (PIPETX7CHARISK         ),
1661
  .pipe_tx7_data_i          (PIPETX7DATA            ),
1662
  .pipe_tx7_elec_idle_i     (PIPETX7ELECIDLE        ),
1663
  .pipe_tx7_powerdown_i     (PIPETX7POWERDOWN       ),
1664
 
1665
  .pipe_rx7_char_is_k_i     (PIPERX7CHARISKGT       ),
1666
  .pipe_rx7_data_i          (PIPERX7DATAGT          ),
1667
  .pipe_rx7_valid_i         (PIPERX7VALIDGT         ),
1668
  .pipe_rx7_chanisaligned_i (PIPERX7CHANISALIGNEDGT ),
1669
  .pipe_rx7_status_i        (PIPERX7STATUSGT        ),
1670
  .pipe_rx7_phy_status_i    (PIPERX7PHYSTATUSGT     ),
1671
  .pipe_rx7_elec_idle_o     (PIPERX7ELECIDLE        ),
1672
  .pipe_rx7_polarity_o      (PIPERX7POLARITYGT      ),
1673
  .pipe_tx7_compliance_o    (PIPETX7COMPLIANCEGT    ),
1674
  .pipe_tx7_char_is_k_o     (PIPETX7CHARISKGT       ),
1675
  .pipe_tx7_data_o          (PIPETX7DATAGT          ),
1676
  .pipe_tx7_elec_idle_o     (PIPETX7ELECIDLEGT      ),
1677
  .pipe_tx7_powerdown_o     (PIPETX7POWERDOWNGT     ),
1678
 
1679
  // Non PIPE signals
1680
  .pl_ltssm_state           (PLLTSSMSTATE           ),
1681
  .pipe_clk                 (PIPECLK                ),
1682
  .rst_n                    (PHYRDYN                )
1683
);
1684
 
1685
//-------------------------------------------------------
1686
// Virtex6 GTX Module
1687
//-------------------------------------------------------
1688
 
1689
pcie_gtx_v6 #(
1690
 
1691
  .NO_OF_LANES(LINK_CAP_MAX_LINK_WIDTH),
1692
  .LINK_CAP_MAX_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
1693
  .REF_CLK_FREQ(REF_CLK_FREQ),
1694
  .PL_FAST_TRAIN(PL_FAST_TRAIN)
1695
 
1696
)
1697
pcie_gt_i (
1698
 
1699
  // Pipe Common Signals 
1700
  .pipe_tx_rcvr_det         (PIPETXRCVRDETGT        ),
1701
  .pipe_tx_reset            (1'b0                   ),
1702
  .pipe_tx_rate             (PIPETXRATEGT           ),
1703
  .pipe_tx_deemph           (PIPETXDEEMPHGT         ),
1704
  .pipe_tx_margin           (PIPETXMARGINGT         ),
1705
  .pipe_tx_swing            (1'b0),
1706
 
1707
  // Pipe Per-Lane Signals - Lane 0
1708
  .pipe_rx0_char_is_k       (PIPERX0CHARISKGT       ),
1709
  .pipe_rx0_data            (PIPERX0DATAGT          ),
1710
  .pipe_rx0_valid           (PIPERX0VALIDGT         ),
1711
  .pipe_rx0_chanisaligned   (PIPERX0CHANISALIGNEDGT ),
1712
  .pipe_rx0_status          (PIPERX0STATUSGT        ),
1713
  .pipe_rx0_phy_status      (PIPERX0PHYSTATUSGT     ),
1714
  .pipe_rx0_elec_idle       (PIPERX0ELECIDLEGT      ),
1715
  .pipe_rx0_polarity        (PIPERX0POLARITYGT      ),
1716
  .pipe_tx0_compliance      (PIPETX0COMPLIANCEGT    ),
1717
  .pipe_tx0_char_is_k       (PIPETX0CHARISKGT       ),
1718
  .pipe_tx0_data            (PIPETX0DATAGT          ),
1719
  .pipe_tx0_elec_idle       (PIPETX0ELECIDLEGT      ),
1720
  .pipe_tx0_powerdown       (PIPETX0POWERDOWNGT     ),
1721
 
1722
  // Pipe Per-Lane Signals - Lane 1
1723
  .pipe_rx1_char_is_k       (PIPERX1CHARISKGT       ),
1724
  .pipe_rx1_data            (PIPERX1DATAGT          ),
1725
  .pipe_rx1_valid           (PIPERX1VALIDGT         ),
1726
  .pipe_rx1_chanisaligned   (PIPERX1CHANISALIGNEDGT ),
1727
  .pipe_rx1_status          (PIPERX1STATUSGT        ),
1728
  .pipe_rx1_phy_status      (PIPERX1PHYSTATUSGT     ),
1729
  .pipe_rx1_elec_idle       (PIPERX1ELECIDLEGT      ),
1730
  .pipe_rx1_polarity        (PIPERX1POLARITYGT      ),
1731
  .pipe_tx1_compliance      (PIPETX1COMPLIANCEGT    ),
1732
  .pipe_tx1_char_is_k       (PIPETX1CHARISKGT       ),
1733
  .pipe_tx1_data            (PIPETX1DATAGT          ),
1734
  .pipe_tx1_elec_idle       (PIPETX1ELECIDLEGT      ),
1735
  .pipe_tx1_powerdown       (PIPETX1POWERDOWNGT     ),
1736
 
1737
  // Pipe Per-Lane Signals - Lane 2
1738
  .pipe_rx2_char_is_k       (PIPERX2CHARISKGT       ),
1739
  .pipe_rx2_data            (PIPERX2DATAGT          ),
1740
  .pipe_rx2_valid           (PIPERX2VALIDGT         ),
1741
  .pipe_rx2_chanisaligned   (PIPERX2CHANISALIGNEDGT ),
1742
  .pipe_rx2_status          (PIPERX2STATUSGT        ),
1743
  .pipe_rx2_phy_status      (PIPERX2PHYSTATUSGT     ),
1744
  .pipe_rx2_elec_idle       (PIPERX2ELECIDLEGT      ),
1745
  .pipe_rx2_polarity        (PIPERX2POLARITYGT      ),
1746
  .pipe_tx2_compliance      (PIPETX2COMPLIANCEGT    ),
1747
  .pipe_tx2_char_is_k       (PIPETX2CHARISKGT       ),
1748
  .pipe_tx2_data            (PIPETX2DATAGT          ),
1749
  .pipe_tx2_elec_idle       (PIPETX2ELECIDLEGT      ),
1750
  .pipe_tx2_powerdown       (PIPETX2POWERDOWNGT     ),
1751
 
1752
  // Pipe Per-Lane Signals - Lane 3
1753
  .pipe_rx3_char_is_k       (PIPERX3CHARISKGT       ),
1754
  .pipe_rx3_data            (PIPERX3DATAGT          ),
1755
  .pipe_rx3_valid           (PIPERX3VALIDGT         ),
1756
  .pipe_rx3_chanisaligned   (PIPERX3CHANISALIGNEDGT ),
1757
  .pipe_rx3_status          (PIPERX3STATUSGT        ),
1758
  .pipe_rx3_phy_status      (PIPERX3PHYSTATUSGT     ),
1759
  .pipe_rx3_elec_idle       (PIPERX3ELECIDLEGT      ),
1760
  .pipe_rx3_polarity        (PIPERX3POLARITYGT      ),
1761
  .pipe_tx3_compliance      (PIPETX3COMPLIANCEGT    ),
1762
  .pipe_tx3_char_is_k       (PIPETX3CHARISKGT       ),
1763
  .pipe_tx3_data            (PIPETX3DATAGT          ),
1764
  .pipe_tx3_elec_idle       (PIPETX3ELECIDLEGT      ),
1765
  .pipe_tx3_powerdown       (PIPETX3POWERDOWNGT     ),
1766
 
1767
  // Pipe Per-Lane Signals - Lane 4
1768
  .pipe_rx4_char_is_k       (PIPERX4CHARISKGT       ),
1769
  .pipe_rx4_data            (PIPERX4DATAGT          ),
1770
  .pipe_rx4_valid           (PIPERX4VALIDGT         ),
1771
  .pipe_rx4_chanisaligned   (PIPERX4CHANISALIGNEDGT ),
1772
  .pipe_rx4_status          (PIPERX4STATUSGT        ),
1773
  .pipe_rx4_phy_status      (PIPERX4PHYSTATUSGT     ),
1774
  .pipe_rx4_elec_idle       (PIPERX4ELECIDLEGT      ),
1775
  .pipe_rx4_polarity        (PIPERX4POLARITYGT      ),
1776
  .pipe_tx4_compliance      (PIPETX4COMPLIANCEGT    ),
1777
  .pipe_tx4_char_is_k       (PIPETX4CHARISKGT       ),
1778
  .pipe_tx4_data            (PIPETX4DATAGT          ),
1779
  .pipe_tx4_elec_idle       (PIPETX4ELECIDLEGT      ),
1780
  .pipe_tx4_powerdown       (PIPETX4POWERDOWNGT     ),
1781
 
1782
  // Pipe Per-Lane Signals - Lane 5
1783
  .pipe_rx5_char_is_k       (PIPERX5CHARISKGT       ),
1784
  .pipe_rx5_data            (PIPERX5DATAGT          ),
1785
  .pipe_rx5_valid           (PIPERX5VALIDGT         ),
1786
  .pipe_rx5_chanisaligned   (PIPERX5CHANISALIGNEDGT ),
1787
  .pipe_rx5_status          (PIPERX5STATUSGT        ),
1788
  .pipe_rx5_phy_status      (PIPERX5PHYSTATUSGT     ),
1789
  .pipe_rx5_elec_idle       (PIPERX5ELECIDLEGT      ),
1790
  .pipe_rx5_polarity        (PIPERX5POLARITYGT      ),
1791
  .pipe_tx5_compliance      (PIPETX5COMPLIANCEGT    ),
1792
  .pipe_tx5_char_is_k       (PIPETX5CHARISKGT       ),
1793
  .pipe_tx5_data            (PIPETX5DATAGT          ),
1794
  .pipe_tx5_elec_idle       (PIPETX5ELECIDLEGT      ),
1795
  .pipe_tx5_powerdown       (PIPETX5POWERDOWNGT     ),
1796
 
1797
  // Pipe Per-Lane Signals - Lane 6
1798
  .pipe_rx6_char_is_k       (PIPERX6CHARISKGT       ),
1799
  .pipe_rx6_data            (PIPERX6DATAGT          ),
1800
  .pipe_rx6_valid           (PIPERX6VALIDGT         ),
1801
  .pipe_rx6_chanisaligned   (PIPERX6CHANISALIGNEDGT ),
1802
  .pipe_rx6_status          (PIPERX6STATUSGT        ),
1803
  .pipe_rx6_phy_status      (PIPERX6PHYSTATUSGT     ),
1804
  .pipe_rx6_elec_idle       (PIPERX6ELECIDLEGT      ),
1805
  .pipe_rx6_polarity        (PIPERX6POLARITYGT      ),
1806
  .pipe_tx6_compliance      (PIPETX6COMPLIANCEGT    ),
1807
  .pipe_tx6_char_is_k       (PIPETX6CHARISKGT       ),
1808
  .pipe_tx6_data            (PIPETX6DATAGT          ),
1809
  .pipe_tx6_elec_idle       (PIPETX6ELECIDLEGT      ),
1810
  .pipe_tx6_powerdown       (PIPETX6POWERDOWNGT     ),
1811
 
1812
  // Pipe Per-Lane Signals - Lane 7
1813
  .pipe_rx7_char_is_k       (PIPERX7CHARISKGT       ),
1814
  .pipe_rx7_data            (PIPERX7DATAGT          ),
1815
  .pipe_rx7_valid           (PIPERX7VALIDGT         ),
1816
  .pipe_rx7_chanisaligned   (PIPERX7CHANISALIGNEDGT ),
1817
  .pipe_rx7_status          (PIPERX7STATUSGT        ),
1818
  .pipe_rx7_phy_status      (PIPERX7PHYSTATUSGT     ),
1819
  .pipe_rx7_elec_idle       (PIPERX7ELECIDLEGT      ),
1820
  .pipe_rx7_polarity        (PIPERX7POLARITYGT      ),
1821
  .pipe_tx7_compliance      (PIPETX7COMPLIANCEGT    ),
1822
  .pipe_tx7_char_is_k       (PIPETX7CHARISKGT       ),
1823
  .pipe_tx7_data            (PIPETX7DATAGT          ),
1824
  .pipe_tx7_elec_idle       (PIPETX7ELECIDLEGT      ),
1825
  .pipe_tx7_powerdown       (PIPETX7POWERDOWNGT     ),
1826
 
1827
  // PCI Express Signals
1828
  .pci_exp_txn              (PCIEXPTXN            ),
1829
  .pci_exp_txp              (PCIEXPTXP            ),
1830
  .pci_exp_rxn              (PCIEXPRXN            ),
1831
  .pci_exp_rxp              (PCIEXPRXP            ),
1832
 
1833
  // Non PIPE Signals
1834
  .sys_clk                  (SYSCLK               ),
1835
  .sys_rst_n                (FUNDRSTN             ),
1836
  .pipe_clk                 (PIPECLK              ),
1837
  .clock_locked             (CLOCKLOCKED          ),
1838
  .pl_ltssm_state           (PLLTSSMSTATE         ),
1839
 
1840
  .gt_pll_lock              (GTPLLLOCK            ),
1841
  .phy_rdy_n                (PHYRDYN              )
1842
 
1843
);
1844
 
1845
//-------------------------------------------------------
1846
// PCI Express BRAM Module
1847
//-------------------------------------------------------
1848
 
1849
pcie_bram_top_v6 #(
1850
 
1851
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED(DEV_CAP_MAX_PAYLOAD_SUPPORTED),
1852
 
1853
  .VC0_TX_LASTPACKET(VC0_TX_LASTPACKET),
1854
  .TL_TX_RAM_RADDR_LATENCY(TL_TX_RAM_RADDR_LATENCY),
1855
  .TL_TX_RAM_RDATA_LATENCY(TL_TX_RAM_RDATA_LATENCY),
1856
  .TL_TX_RAM_WRITE_LATENCY(TL_TX_RAM_WRITE_LATENCY),
1857
 
1858
  .VC0_RX_LIMIT(VC0_RX_RAM_LIMIT),
1859
  .TL_RX_RAM_RADDR_LATENCY(TL_RX_RAM_RADDR_LATENCY),
1860
  .TL_RX_RAM_RDATA_LATENCY(TL_RX_RAM_RDATA_LATENCY),
1861
  .TL_RX_RAM_WRITE_LATENCY(TL_RX_RAM_WRITE_LATENCY)
1862
 
1863
)
1864
pcie_bram_i (
1865
 
1866
  .user_clk_i( USERCLK ),
1867
  .reset_i( PHYRDYN ),
1868
 
1869
  .mim_tx_waddr( MIMTXWADDR ),
1870
  .mim_tx_wen( MIMTXWEN ),
1871
  .mim_tx_ren( MIMTXREN ),
1872
  .mim_tx_rce( MIMTXRCE ),
1873
  .mim_tx_wdata( MIMTXWDATA ),
1874
  .mim_tx_raddr( MIMTXRADDR ),
1875
  .mim_tx_rdata( MIMTXRDATA ),
1876
 
1877
  .mim_rx_waddr( MIMRXWADDR ),
1878
  .mim_rx_wen( MIMRXWEN ),
1879
  .mim_rx_ren( MIMRXREN ),
1880
  .mim_rx_rce( MIMRXRCE ),
1881
  .mim_rx_wdata( MIMRXWDATA ),
1882
  .mim_rx_raddr( MIMRXRADDR ),
1883
  .mim_rx_rdata( MIMRXRDATA )
1884
 
1885
);
1886
 
1887
 
1888
//-------------------------------------------------------
1889
// PCI Express Port Workarounds
1890
//-------------------------------------------------------
1891
 
1892
pcie_upconfig_fix_3451_v6 # (
1893
 
1894
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
1895
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
1896
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH )
1897
 
1898
)
1899
pcie_upconfig_fix_3451_v6_i (
1900
 
1901
  .pipe_clk(PIPECLK),
1902
  .pl_phy_lnkup_n(PLPHYLNKUPN),
1903
 
1904
  .pl_ltssm_state(PLLTSSMSTATE),
1905
  .pl_sel_lnk_rate(PLSELLNKRATE),
1906
  .pl_directed_link_change(PLDIRECTEDLINKCHANGE),
1907
 
1908
  .cfg_link_status_negotiated_width(CFGLINKSTATUSNEGOTIATEDWIDTH),
1909
 
1910
  .filter_pipe(filter_pipe_upconfig_fix_3451)
1911
 
1912
);
1913
 
1914
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.