OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [cpu/] [btstrp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 trurl
------------------------------------------------------------------
2
--!
3
--! PDP-8 Processor
4
--!
5
--! \brief
6
--!      CPU Bootstrap (BTSTRP) Register
7
--!
8
--! \details
9
--!      The Bootstrap Register (BTSTRP) is defined in the HD-6120
10
--!      documentation.   Its functionality is mostly not
11
--!      implemented.
12
--!
13
--! \todo
14
--!      The BTSTRP Register is not implemented.
15
--!
16
--! \file
17
--!      btstrp.vhd
18
--!
19
--! \author
20
--!      Rob Doyle - doyle (at) cox (dot) net
21
--!
22
--------------------------------------------------------------------
23
--
24
--  Copyright (C) 2009, 2010, 2011 Rob Doyle
25
--
26
-- This source file may be used and distributed without
27
-- restriction provided that this copyright statement is not
28
-- removed from the file and that any derivative work contains
29
-- the original copyright notice and the associated disclaimer.
30
--
31
-- This source file is free software; you can redistribute it
32
-- and/or modify it under the terms of the GNU Lesser General
33
-- Public License as published by the Free Software Foundation;
34
-- version 2.1 of the License.
35
--
36
-- This source is distributed in the hope that it will be
37
-- useful, but WITHOUT ANY WARRANTY; without even the implied
38
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
39
-- PURPOSE. See the GNU Lesser General Public License for more
40
-- details.
41
--
42
-- You should have received a copy of the GNU Lesser General
43
-- Public License along with this source; if not, download it
44
-- from http://www.gnu.org/licenses/lgpl.txt
45
--
46
--------------------------------------------------------------------
47
--
48
-- Comments are formatted for doxygen
49
--
50
 
51
library ieee;                                   --! IEEE Library
52
use ieee.std_logic_1164.all;                    --! IEEE 1164
53
use work.cpu_types.all;                         --! Types
54
 
55
--
56
--! CPU Bootstrap (BTSTRP) Register Entity
57
--
58
 
59
entity eBTSTRP is port (
60
    sys      : in  sys_t;                       --! Clock/Reset
61
    btstrpop : in  btstrpop_t;                  --! BTSTRP Operation
62
    BTSTRP   : out std_logic                    --! BTSTRP Output
63
);
64
end eBTSTRP;
65
 
66
--
67
--! CPU Bootstrap (BTSTRP) Register RTL
68
--
69
 
70
architecture rtl of eBTSTRP is
71
 
72
    signal btstrpREG : std_logic;               --! Bootstrap Flip-Flop
73
    signal btstrpMUX : std_logic;               --! Bootstrap Flip-Flop Multiplexer
74
 
75
begin
76
 
77
    --
78
    -- BTSTRP Multiplexer
79
    --
80
 
81
    with btstrpOP select
82
        btstrpMUX <= btstrpREG when btstrpopNOP,
83
                     '0'       when btstrpopCLR,
84
                     '1'       when btstrpopSET;
85
 
86
    --
87
    --! BTSTRP Register
88
    --
89
 
90
    REG_BTSTRP : process(sys)
91
    begin
92
        if sys.rst = '1' then
93
            btstrpREG <= '0';
94
        elsif rising_edge(sys.clk) then
95
            btstrpREG <= btstrpMUX;
96
        end if;
97
    end process REG_BTSTRP;
98
 
99
    BTSTRP <= btstrpREG;
100
 
101
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.